AVS2011 Session PS-ThP: Plasma Science and Technology Poster Session

Thursday, November 3, 2011 6:00 PM in Room East Exhibit Hall

Thursday Evening

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule

PS-ThP-1 Anomalous Copper Contamination Observed during Hydrogen Plasma Processing
Andrey Zakharov (GlobalFoundries, Germany); Phillip Geissbuhler, Carlo Waldfried (Axcelis Technologies); Roger Sonnemans (Axcelis Technologies, GmbH, Germany); Ivan Berry III (Axcelis Technologies)

We report on an investigative study into the anomalous copper contamination observed on silicon test wafers run in hydrogen plasma processing systems using substantially oxygen-free plasmas. The copper is believed to originate from trace copper constituents of aluminum and other alloys used in construction of the vacuum system components. The transport of the copper to the wafer surface is consistent with the formation of meta-stable CuH.

Several plasma-ash systems from multiple vendors were tested using VPD/ICP-MS on silicon test wafers processed using hydrogen plus nitrogen gas mixtures. Anomalously high copper contamination levels in excess of 5x1011 atoms/cm2 were measured. The copper contamination was found to increase linearly with plasma process time and the rate was highly system dependent. Clean systems tended to have higher contamination rates as compared to systems that have run large amounts of mixed processes, suggesting carbon or hydrocarbon deposits on chamber walls can somewhat suppress the CuH formation. Cycling these systems with oxygen plasmas increased the copper contamination rate. Additionally the contamination rate increased with increasing chamber wall temperature suggesting strongly that the chamber construction materials are the source of the contamination. Additionally several systems exhibited increases of cadmium, germanium and nickel. Each of these metals also has stable or meta-stable metal hydrides.

It was found that adding oxygen to the plasma in excess of 1% by volume, suppressed completely the anomalous copper contamination. VPD/ICP-MS measurement showed that 1% or higher oxygen concentration reduced copper contamination to below 1x109 atoms/cm2.

PS-ThP-2 Real-Time Monitoring of Reactors Wall Status during Silicon and Metal Gate Etching
Andrea P. Marchelli, Guido Pietrogrande (MICRON Semiconductor Italia, Italy)
One major challenge in plasma etching processes for sub-65nm integrated circuits is to assure the wafer to wafer repeatability.
Particularly in plasma processes etching for IC fabrication, with metal gate, organic or metallic residues are deposited on the chamber walls. Because of the deposition of these layers a large and uncontrolled shifts in the etch process could be observed. To avoid this problem dedicated dry cleaning of the etching chamber must be developed but this methodology requires the precise knowledge of the layer coated on the reactor walls (1). This is not easy because of complexity of the stacks that need several steps of etching with different chemistries. Particularly the sharing of the equipments among many different process increases the complexity of layer coated. In order to assure that every wafer has been etched correctly a real-time monitoring of plasma impedance has been developed. The experiments were performed in a low pressure inductively coupled plasma reactor supplied with 13.56 MHz rf powers. Through the monitoring of dedicated equipment parameter tightly related to the plasma impedance is possible to active a dedicated fault detection control. During the wafer process, the check of this parameter along dedicated step that use only oxygen, it is possible to get the status of chamber wall in real-time; therefore is possible to activate a recovery action if necessary and don't process any other wafer. In order to validate the correlation between equipment parameter and reactors wall status etch rate test and XRF spectroscopy tests were performed. The innovation of this method consists therefore in the possibility to directly estimate the state of chamber walls during the process without having to affect measures devoted through sample (2).
(1) R. Ramos, G. Cunge and O.Joubert. J.Vac. Sci. Technol. B 26(1), Jan/Fab 2008
(2) O.Joubert, G. Cunge, BPellisier , L. Vallier, M. Kogelschatz and E. Pargon. . J.Vac. Sci. Technol. A 22(3), May/Jun 2004
PS-ThP-3 Reaction Process of Si Surfaces with Hydrogen Plasma
Ko-jiro Hara, Masanori Shinohara, Yoshiki Takami, Yu-ji Takaki, Yoshinobu Matsuda, Hiroshi Fujiyama (Nagasaki University, Japan)
Hydrogen plasma generates hydrogen radicals and ions in it. Both of them are important to Si technologies, such as the deposition of Si-related films, the etching of the films and so on; hydrogen radicals generate the adsorption sites by abstracting hydrogen from hydrogen terminated Si surface, while hydrogen radicals terminated dangling bonds of Si films. On the other hand, hydrogen ions also play an important role in Si etching process. Then, it is important to investigate the reaction process of hydrogen plasma with Si surfaces. We investigated the reaction processes at the different substrate bias conditions. The processes were investigated with infrared spectroscopy in multiple internal reflection geometry (MIR-IRAS). Hydrogen plasma was generated by feeding RF (13.56 MHz) power to the coil wrapped the glass tube. Hydrogen plasma was exposed to chemical oxide covered Si(100) surfaces. After the oxide layer was etched, the surface was terminated with hydrogen. Moreover, the surface was distorted, so that the atomic arrangements on the surface were changed into amorphous layer. With the increase of substrate bias which was fed with RF (800kHz) power, the formation of the amorphous layer was increased. Furthermore, we noticed that the main peak position was changed with the exposure in this process; in the initial stage, the main peak was observed between 2000 and 2030 cm-1. In the middle stage the main peak was located at 2060 cm-1. In the final stage the main peak was located at 2100 cm-1. From the assignment of the peak positions, amorphous SiH components were formed in the initial stage; amorphous SiH2 components were formed in the final stage. The peak at 2060 cm-1 observed in the middle stage can be assigned to the distorted SiH or hydrogen terminated vacancy. It is suggested that the kinds of hydride components are changed with the exposure.
PS-ThP-4 Comparative Study on Atmospheric Pressure Plasma Modification on Packaging Material using Microwave and DBD Sources
Jungmi Hong, YuiLun Wu, Tae Cho, David Ruzic (University of Illinois at Urbana Champaign)
Atmospheric plasma treatment is a very promising way to get hydrophilic or hydrophobic surface characteristics for many applications. In the food manufacturing industry there have been various kinds of technical approaches for prohibiting oxygen or water vapor penetration into products in order to preserve freshness and prolong shelf life. In this study two different types of atmospheric pressure plasmas, microwave and dielectric barrier discharge, are used on paraffin-wax coated paper. Different process recipes were applied on the packaging material and the surface modification results were evaluated. In the case of the microwave torch, a 10% improvement in hydrophobicity in terms of water contact angle measurement result and relative permeability change for water vapor was measured. The water contact angle was increased to 116±6 from 105±7 through applying a plasma treatment using a He/N2 mixture. For the permeability, three replications were prepared for each condition and weighed periodically. Each sample was prepared with a cup containing 2.0g of de-ionized water covered with treated or untreated packaging paper. According to its different treatment condition, the water loss of the plasma treated sample was 7-9% less than untreated one. This means the plasma-treated material will do better as a protective barrier against water vapor transmission. With the DBD remote plasma, a highly efficient hydrophilic process was used using nitrogen gas with small amount of air or hydrogen insertion. In order to understand the different surface reaction for the two plasma sources, the surface morphologies of treated samples were characterized by scanning electron microscopy and chemical properties were evaluated.
PS-ThP-6 Inductively Coupled Plasma-Enhanced Atomic Layer Deposition of Platinum Nano-particles and Platinum Thin Films Using Ar/O2 Plasma
Bo-Heng Liu, Chi-Chung Kei, Chin-Chieh Yu, Don-Yau Chiang, Chien-Nan Hsiao (National Applied Research Laboratories, Taiwan, Republic of China)

Platinum nano-particles (NPs) and platinum thin films were deposited by using inductively coupled plasma atomic layer deposition (PEALD). In this PEALD process, the Ar/O2 reaction plasma was applied to decompose the ligands of Pt precursor (MeCpPtMe3) at varied substrate temperatures between 150 to 300 oC. The partial pressures of Ar and O2 were held at 1.5 and 0.5 Torr during the PEALD Pt NPs process. Next the PEALD Pt thin films deposited by using the lower pressure of Ar and O2 at 0.3 and 0.1 Torr. X-ray diffraction (XRD) patterns show that Pt NPs and thin films are polycrystalline and a preferred orientation along the (111) plane of the fcc structure. Based on the Transmission Electron Microscopy (TEM) measurement, the growth rate of Pt NPs size and Pt thin films thickness is about 0.04 nm/cycle. The Pt thin film 4f XPS spectra consists with the Pt 4f data that observed metallic Pt peaks deposited at 200 oC on Si wafer using Ar/O2 plasma of 400 W. The step coverage was approximately 100% coated in 170 nm (open diameter) trench structures with 3.5: 1 aspect ratio. The low resistivity of Pt NPs and Pt thin films prepared by using 600 and 200 cycles was 1.83×10-5 and 1.62×10-5 Ω-cm, respectively.

Keywords: inductively coupled plasma, PEALD, platinum NPs

PS-ThP-7 Properties and Performance of TiN, TiCN Coatings on Stainless Steel for Commercial Production Equipment
YuiLun Wu, Jungmi Hong, Tae Cho, David Ruzic (University of Illinois at Urbana Champaign)
Commercial production equipment coated with TiN and TiCN films were studied experimentally and their material properties and performance were characterized in detail. The goal is to produce a titanium nitride and titanium cabonitride coating on bare stainless steel surfaces that can resist material adhesion on the equipment surfaces. TiN and TiCN were chosen as the coating material due to their superior performance of low friction coefficient and high wear resistivity. Previous studies have shown that the friction coefficient of the coatings could be as low as 0.15 and wear rate of 6×10-6 mm3N-1m-1 whereas the friction coefficient was 0.6 and the wear rate was about 3 orders of magnitude higher for the bare stainless steel surfaces. In this study the TiN and TiCN coatings were made using magnetron reactive sputtering using a titanium target of 300mm in diameter and 1cm thickness with methane and nitrogen as reactive gases. The coating properties were characterized tribology testing. Adhesions of the coatings on equipment surfaces were determined with single-pass scratch tests and the friction coefficients and wear rates were found using pin-on-disc tests. Resistivity on gum base materials were also characterized with the amount of adhered gum based materials and contact angle measurements.
PS-ThP-8 The Dry Etching Properties of Na0.5K0.5NbO3 Thin Films in Inductively Coupled Plasma
Young-Hee Joo, Jong-Chang Woo, Chang-Il Kim (Chung-Ang University, Republic of Korea)
Recently, the ferroelectric materials are possible to study many fields for the application of the ferroelectric materials. The application of ferroelectric materials in random access memory devices tends to replace both magnetic core and magnetic bubble memories. And observed high electric field tunability with low losses makes this material suitable candidate for various voltage tunable microwave devices. Ferroelectric materials usually have high dielectric constants. From several published works, it was found that the sodium-potassium niobate, Na0.5K0.5NbO3(NKN) thin films grown on oxide substrate have a great dielectric and piezoelectric characteristics. Ferroelectric NKN thin films attract attention for their superior dielectric, piezoelectric, and crystalline properties. Therefore, we can use NKN thin films for many applications including non-volatile memory, sensors, optical switches, and ultrasonic transducers. The preferential development of anisotropic etching process for NKN thin films is desirable for highly intergrated ferroelectric application. Unfortunately, a small number of papers on NKN etch behavior published. The etch characteristics are not known appropriate accurately. This fact makes some difficulties for integration of NKN in the microelectronic technology.
In this work, we investigated etch characteristics of the NKN thin films in inductively coupled plasma. Both NKN etch rate and NKN/SiO2 etch selectivity were measured as functions of gas mixing ratio, input RF power, and dc bias voltage. The chemical reaction between plasma and NKN thin film surface were investigated by x-ray photoelectron spectroscopy (XPS). Field emission Auger electron spectroscopy (FE-AES) was used for the elemental analysis of the etched surfaces. Field emission scanning electron microscopy (FE-SEM) was used to investigate the etching profile.
PS-ThP-9 The Dry Etching Properties of IZO Thin Films in High Density CF4/Ar Plasma
Jong-Chang Woo, Chang-Il Kim (Chung-Ang University, Republic of Korea)

The Indium zinc oxide (IZO) is a wide band gap transparent conducting oxide that has provoked a great deal of interest recently, due to its many potential applications. It allows the fabrication of devices with a low operating voltage, and the design of such devices will lead the way to the next generation of display technologies based on transparent and flexible devices. IZO thin films have attracted a great deal of attention because of their excellent optical transmission, high conductivity, chemical stability, thermal stability and low compressive stress. Therefore, amongst the various patterning techniques used for IZO thin films, plasma etching is preferred, because it allows for high resolution pattern transfer for optoelectronic device structures. Recently, although the growth characteristics of IZO thin films have been well optimized, the development of an efficient pattern transfer process remains to be achieved. Among the various patterning techniques, the dry etching process has several advantages over the conventional wet etching process, including high resolution and easy process automation. Moreover, there have only been a few studies relating to IZO dry etching using the high density plasma sources favored by modern microelectronic technology. As a result, the influence of the process parameters on the IZO etch rate has not been explored in detail and the IZO etch mechanism is not quite clear.

In this work, we investigated the etching characteristics of IZO thin film using a high density plasma (HDP) system. The etching characteristics of the IZO thin film were investigated in the terms of the selectivity of IZO over SiO2 as a function of the etch chemistry. The chemical states on the etched surface were investigated by X-ray photoelectron spectroscopy (XPS). Field emission Auger electron spectroscopy (FE-AES) was used for the elemental analysis of the etched surfaces.

PS-ThP-10 The Dry Etching Properties of TaN Thin Film Using Inductively Coupled Plasma
Jong-Chang Woo, Young-Hee Joo, Chun Li, Chang-Il Kim (Chung-Ang University, Republic of Korea)
***PLEASE NOTE YOU MUST IDENTIFY A DIFFERENT PRESENTER FOR THIS ABSTRACT.  YOU MAY PRESENT ONE PAPER ONLY (ORAL OR POSTER) AT THE CONFERENCE. J. WOO IS CURRENTLY LISTED AS PRESENTER FOR ABSTRACT #1295***Recently, to overcome these problems, conventional poly-Si gates on ultrathin SiO2 dielectric layers could be replaced by metal gates on high-k dielectric materials. Metal electrode/high-k gate stacks have already been implemented, but require continuous improvement with scaling. Currently, to integrate Hf-based high-k dielectric materials including HfO2, HfAlO, HfON, and HfSiO, the use of various metal gate electrode materials including TaN and TiN is being widely studied. Among these materials, TaN/HfAlO are promising candidates for replacing ploly-Si/SiO2. TaN is very attractive due to its high thermal stability, good adhesion, high melting point, and low resistivity (25 to 250 µΩ/cm). To pattern the metal electrode/high-k gate stack structure, etching of the metal electrode against a high-k dielectric layer using etching processes can be employed. In this approach, a very high etch selectivity of the metal gate electrode to the high-k dielectric is required because of the very thin nature of high-k dielectric materials. Therefore, a very high etch selectivity of the metal gate electrode to the high-k dielectric is also needed to minimize Si damage loss at ultrashallow source/drain regions.
In this work, the TaN thin films were etched in Cl2/BCl3/Ar and O2/Cl2/BCl3/Ar plasma. The effects of adding O2 to the Cl2/BCl3/Ar chemistry were investigated for the purpose of improving the etch selectivity of the TaN to SiO2 layer. The etching characteristics of TaN thin films were investigated in terms of etch rates and selectivity as a function of the gas mixing ratio. X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) was used for elemental analysis of the etched surfaces.
PS-ThP-11 Mass Spectroscopy of Metastable Atomic Species in Gas Analysis and Processing Plasmas at High Spectrometer Source Pressures
Dave Seymour, Alan Rees, Claire Greenwood, Sean Davies, Mark Buckley (Hiden Analytical)

The availability of particle counters which may be operated at ambient pressures of up to 2x10-4 Torr is highly desirable in many current research applications. In one field in particular, it allows mass spectroscopy of gas analysis and processing plasmas to be performed using pressures of this order in the quadrupole mass spectrometer (QMS). These pressures are much closer to those of many processing plasmas so that the sampling of neutral species, in particular, from the plasma is improved. The sampling of ion and neutral species from magnetron plasmas is a good example. The particle counter used in the present investigation, could be mounted axially so as to have a direct line-of-sight view of the sampling orifice of the QMS. Consequently, energetic neutral species such as metastable atoms of helium, which are produced in helium plasmas and have long lifetimes against spontaneous de-excitation, may travel to the detector and have sufficient energy to be counted there. The detection of metastable helium may be of importance in the study of reaction processes during hydrogen (H/HD)-deuterium (D2) plasma fusion, of which the residual fusion byproduct is helium ash. Furthermore, collision processes in the ionisation source of the QMS, (including Penning ionisation), which are insignificant at the more usual source pressures of below 1x10-5 Torr, generate product ion species whose study helps the interpretation of the processes occurring in the plasma reactor. Typical data from neutral gases and plasmas in a range of gas mixtures which include helium or argon with molecular gases such as hydrogen and nitrous oxide are shown. The majority of the data presented consist of electron impact threshold ionisation efficiency curves obtained by scanning the energy of the electrons in the QMS source. The results presented are discussed in terms of processes which include collisions between metastable species such as He (21S and 23S) at 20.61eV and 19.82eV respectively[1] having radiative lifetime states of 20±2ms[2], and also other plasma constituents. The measurements suggest new avenues of research into gas analysis and processing plasmas in which energetic, long-lived, metastable species may have important roles.

[1] Laborie. P, Rees, J.A. Electronic Cross Sections and coefficients, Hydrogen and rare gases, Dunod, 1968

[2] Van Dyck, Robert,S et al. Phys. Rev. A 4, 1327–1336 (1971)

PS-ThP-12 Cutoff Probe Using Fourier Analysis for Electron Density Measurement
Byung-Keun Na, Kwang-Ho You, Dae-Woong Kim (Korea Advanced Institute of Science and Technology, Republic of Korea); Shin-Jae You (Korea Research Institute of Standards and Science, Republic of Korea); Sang-Hun Seo, Hong-Young Chang (Korea Advanced Institute of Science and Technology, Republic of Korea)

Wave-cutoff method is an electron density measurement tool. Most of the diagnostic tools, including cutoff probe, need a few seconds to measure the plasma parameters. In this presentation, a fast measurement method using Fourier analysis will be newly introduced. A wave-cutoff probe system consists of two antennas and a network analyzer. A network analyzer provides the transmission spectrum and the reflection spectrum by frequency sweeping. The plasma parameters such as electron density can be obtained through these spectra. The frequency sweeping time, the time resolution of the wave-cutoff method, is about 1~10 seconds.

We proposed a new method to use an impulse generator and an oscilloscope instead of a network analyzer. This method was named 'Fourier cutoff probe method'. A short impulse signal with a few GHz band and its transmitted signal were detected by an oscilloscope, and the Fourier analysis of the signals made a transmission spectra of plasma. The data acquisition time can be reduced with this method.

In this work, 3 diagnostic methods, Langmuir probe, network analyzer cutoff probe, and Fourier cutoff probe, were also compared. The measurement results were well matched. This method provides electron density with time resolution of 10 nano seconds, and it took about 100 milli seconds to make a measurement. This technique is found to be very useful especially in pulsed plasma. Fourier cutoff probe was 40 times faster than Langmuir probe in a pulsed plasma measurement.

PS-ThP-13 Full Wave Simulation Study of Multi-mode Plasma Absorption Probes
Chuyu Kao, Wen-Chih Chen, Keh-Chyang Leou, Cheng-Hung Hsieh (National Tsing Hua University, Taiwan, Republic of China)

Measurement of plasma density, a key parameter that control the property of processing plasmas and hence the processing results, has been the focus of extensive studies in recent years, not only for characterization of the plasmas but also for development of tools for monitoring of the plasma based processes1. In this study, a multi-mode plasma absorption probe2 was investigated by employing a full wave electromagnetic simulation using a finite element code, High Frequncy Structure Simulation (HFSS, ANSOFT CORP). The spherically-shaped probe was formed by two isolated hemispherical conductors, which was enclosed by a layer of dielectrics (alumina). In the simulation analysis, a coaxial line was attached to the spherical probe head and the "driven mode" was adoped, i.e., the wave was fed into the coaxial line and the absorption specturm, reflection coefficient vs frequency, was then analyzed. The probe was in a plasma, characterized by the collisional electromagnetic plasma permittivity, determined by plasma density, wave frequency and momentum transfer collisional frequency. Simulation results show that the absorption spectrum exhibits a number of resonances, as a result of cavity-like structure resonant modes, as well as the plasma density, similar to the results reported in a previous work based on an electrostatic analysis2. The electromagnetic analysis adopted in this work, however, reveals that the wave also propagates along the interface between the plasma and the coaxial cable, similar to the characteristics of a conventional plasma absorption probe3. This effect thus contributes to resonant peaks in the absorption spectrum that did not appear in the electrostatic analysis. A parametric study by varying the plasma density, probe size and dielectric thickness have been conducted and the results will be presented.

1 M. A. Lieberman and A. J. Lichtenberg, Principle of Plasma Dishcarges and Material Processing, Wiley, New York, 2005.

2 C. Scharwitz, et al, Appl. Phys. Lett., 94, 011502(2009).

3 H. Kokura, et al, Jpn. J. Appl. Phys., Part 1, 38, 5262, 1999.

* Work supported by the National Science Council of Taiwan, ROC.

PS-ThP-14 Characteristics of SiO2 Etching with Ar/C4F8/CHF3/O2 Gas Mixture in 60 MHz/2 MHz Dual Frequency Capacitive Coupled Plasmas
MinHwan Jeon, SeKoo Kang, JongYoon Park, GeunYoung Yeom (Sungkyunkwan University, Republic of Korea)

As the size of the semiconductor device is drastically scaled down to nano-scale, the etching technology of high aspect ratio contact (HARC) is increasingly important. To etch the nano patterned HARC, the multi-layer resist (MLR) structure conventionally composed of a PR, SiON layer, and amorphous carbon layer has been developed because it is difficult to etch HARC directly using a photoresist mask only. However, even though the etching of HARC tends to show higher etch selectivity and proper etch profile with MLR structures, for the next-generation nano-scale dynamic random access memory devices, the etching of nano-scale SiO2 HARC using fluorocarbon plasmas is becoming more and more important.

Nanoscale SiO2 contact holes were etched by using C4F8/CHF3/O2/Ar gas mixtures in dual-frequency capacitive coupled plasmas (DF-CCPs) where 60 MHz source power is applied to the top electrode while 2 MHz bias power is applied to the bottom electrode. we added CHF3 and O2 gases to control the etch rate and improve the etch selectivity. Proper additive gas ratios are needed to get the high etch selectivity and maintain CD size. We measured radical intensity, etch rate and SiO2 surface by using optical emission spectroscopy(OES), X-ray photoelectron spectroscopy(XPS), field emission scanning electron microscopy(FE-SEM) respectively.

In this study, we confirm that the etch rate and etch selectivity are varied as a function of CHF3/O2 gas ratios. The SiO2 and amorphous carbon layer(ACL) etch rate are increased with increasing the HF power due to the improvement of gas dissociation. But as increasing the HF power, etch selectivity is saturated. In this study, Highest etch selectivity of about 6 is obtained at HF power 300 W, LF power 500 W, Ar/C4F8/CHF3/O2 = 150/20/25/5 sccm, process pressure of 20 mTorr.

PS-ThP-15 An Experimental Study on Large-Area Multi-Electrode Discharge for the Deposition of Microcrystalline Silicon Thin Film for Solar Cell
Yun-Seong Lee, Sang-Hun Seo, Hong-Young Chang (Korea Advanced Institute of Science and Technology, Republic of Korea)

Recently, there are many researches in order to increase the deposition rate (D/R) and improve film uniformity and quality in the deposition of microcrystalline silicon thin film. These two factors are the most important issues in the fabrication of the thin film solar cell, and for the purpose of that, several process conditions, including the large area electrode (more than 1.1 X 1.3 (m2)), higher pressure (1 ~ 10 (Torr)), and very high frequency regime (VHF, 40 ~ 100 (MHz)), have been needed.

But, in the case of large-area capacitively coupled discharges (CCP) driven at frequencies higher than the usual RF (13.56 (MHz)) frequency, the standing wave and skin effects should be the critical problems for obtaining the good plasma uniformity, and the ion damage on the thin film layer due to the high voltage between the substrate and the bulk plasma might cause the defects which degrade the film quality.

In this study, we will propose the new concept of the large-area multi-electrode (a new multi-electrode concept for the large-area plasma source), which consists of a series of electrodes and grounds arranged by turns. The experimental results with this new electrode showed the processing performances of high D/R (1 ~ 2 (nm/sec)), controllable crystallinity (~70% and controllable), and good uniformity (less than 10%) at the conditions of the relatively high frequency of 40 MHz in the large-area electrode of 280 X 540 mm2. And, we also observed the SEM images of the deposited thin film at the conditions of peeling, normal microcrystalline, and powder formation, and discussed the mechanisms of the crystal formation and voids generation in the film in order to try the enhancement of the film quality compared to the cases of normal VHF capacitive discharges. Also, we will discuss the relation between the processing parameters (including gap length between electrode and substrate, operating pressure) and the processing results (D/R and crystallinity) with the process condition map for μc-Si:H formation at a fixed input power and gas flow rate.

Finally, we will discuss the potential of the multi-electrode of the 3.5G-class large-area plasma processing (650 X 550 (mm2) to the possibility of the expansion of the new electrode concept to 8G class large-area plasma processing and the additional issues in order to improve the process efficiency.

PS-ThP-16 Qualitative Research on Low-Damage Neutral Beam Etching Effect of Mechanical Properties
Yuki Nishimori, Ueki Shinji, Kubota Tomohiro (BEANS Project, Japan); Sugiyama Masakazu (University of Tokyo and BEANS Project, Japan); Gen Hashiguchi (Shizuoka University and BEANS Project, Japan)

The Neutral Beam Etching (NBE) system has been expected to provide a damage-free top-down process for organic and inorganic materials and facilitate the practical development of future Nano scale devices

In order to examine the mechanical damage-free effect by NBE, we have conducted NBE surface treatment to microcantilever formed over an 8 –inch wafer from the upper surface several times, and measured the resonance frequency and the quality factor (Q-factor) of 52 cantilevers after each treatment.

The NBE treatment removes about 50nm, 100nm, and 500nm thick silicon surface layer, respectively.

The Q-factor of cantilevers is attributed by summation of various kinds of energy dissipation mechanisms such as air damping, thermo elastic damping, support, and surface dissipation.

Based on the theoretical consideration of mechanical vibration, we have designed the microcantilever having 30 um in width, 150 um in length and 2 um in thickness, by which the surface energy dissipation become dominant compared to other ones in high-vacuum condition.

In general, Q-factor is a function of the resonant frequency (fr) so that we can’t argue the effect of NBE treatment from the Q-factor change as it is. Therefore we adopt the values Q-factor divided by resonant frequency (Q/fr value) which becomes independent of the thickness in case that the Q-factor is dominated by the surface dissipation and is a good parameter to represent surface dissipation.

From the viewpoint of transition of Q/fr value taken after each NBE treatment, surface dissipation of the cantilever was increased with each treatment process and saturated after adequate NBE treatment.

This indicates that surface damage introduced during fabrication process can be removed completely by slight surface etching using NBE.

In the conference, we will report the precise results of the NBE treatment experiment.

PS-ThP-17 Free-standing Anodic Aluminum Oxide on Silicon Substrate by using Neutral Beam Etching
ChanKyu Kim, KyungSeok Min, JongSik Oh, GeunYoung Yeom (Sungkyunkwan University, Republic of Korea)
When the Anodic Aluminum Oxide (AAO) is used as the solid mask, compared to the patterning using electron-beam lithography and block co-polymer, the patterning using AAO is cheaper, more reliable, and easier in controlling the size and shape. However, due to the stress at the interface between the aluminum and the substrate, the alumina barrier layer is formed at the bottom of the AAO holes during the formation of AAO and it prevents direct physical and electrical contact to the substrate.

In this work, to study the effect of surface charging on the removal of the barrier layer, the Cl2/BCl3 neutral beam etching has been used and the results were compared with the AAO etched by an ion beam etching. By the neutral beam etching with BCl3 containing gas mixtures, the barrier layer was successfully removed due to the formation of volatile BOxCly during the etching. However, when the barrier layer was etched using the ion beam with the BCl3 containing gas mixtures, even though AAO itself is etched, the barrier layer located near the bottom of the AAO pore was not easily etched due to the charging of the AAO pore similar to the case of conventional reactive ion etching.

PS-ThP-18 Synchronous Pulsed Inductively Coupled Plasma Source for Controllable Charged Process
KyungSeok Min, JongSik Oh, ChanKyu Kim, GeunYoung Yeom (Sungkyunkwan University, Republic of Korea)
A high-density ion source derived from an inductively coupled plasma is a useful tool in the fabrication of devices. A disadvantage of the technique is that charge-induced damage can cause irreversible changes in the device properties. In order to avoid or to compensate for the non-uniform accumulation of positive and negative charges near the treated surface, a number of low-damage processes have been proposed. The most promising of these processes uses high-energy 10–1000 eV beams of fast atoms and radicals for surface treatment.

This letter reports on a different method of negative beam formation that has potential application to neutral beam etching. In particular, we obtain a flux of fast neutral atoms with narrow energy and angular distribution from an initially negative ion beam which is extracted from the discharge volume with energy of 10 – 500 eV and then neutralized. By increasing the pulse frequency of the antenna voltage to 20 kHz and applying a synchronized bipolar potential to the accelerating electrodes, it is possible to produce a high-density negative ion beam whose energy level is determined by the amplitude of the extraction potential. During the discharge, a positive potential is applied to the extraction electrode and a negative potential to the focusing electrode. In the afterglow period, these potentials are switched, allowing high-energy negative ions to escape the source. The lower and upper limits of the bipolar extraction potential on the two electrodes can be varied independently, so that the ratio of negative ions to positive ions in the resulting beam can be adjusted from 0% to 100%.

PS-ThP-19 Initial Studies of a-Si Deposition and Nano-Crystallization using a Novel Reactor with Rotating Substrates
Zhuo Chen, John Mucha, Vincent Donnelly, Demetre Economou (University of Houston); Youjin Lee, Austin Akey, Irving Herman (Columbia University)

Amorphous silicon deposition and nano-crystallization experiments were performed in a novel reactor with rotating substrates. Separate plasma sources were used for deposition (a capacitively coupled silane/helium plasma) and crystallization (an inductively coupled hydrogen plasma). Substrates were exposed sequentially and repeatedly to the deposition and crystallization plasmas for different times by varying the rotation speed. Radical concentrations in the plasma were monitored by optical emission spectroscopy while stable products were measured using a mass spectrometer. Films were characterized by spectroscopic ellipsometry (thickness, optical constants), Fourier transform infrared absorption (silicon-hydrogen bonding, hydrogen concentration), Raman spectroscopy and X-Ray diffraction (crystalline structure, degree of crystallization). Results will be presented for different plasma operating conditions, substrate temperatures, and exposure times in the deposition and crystallization plasmas. The effect of “cross-talk” between the two plasmas and methods to prevent it will also be discussed.

Supported by the University of Houston GEAR Program and DoE

PS-ThP-20 Fundamental Overview on a Controllable Optical Emission Spectroscopy Diagnostic System for Analysis of Process Chemistry
Gabriel Padron-Wells, P.L. Stephan Thamban (The University of Texas at Dallas); Jimmy Hosch (Verity Instruments Incorporated); Lawrence Overzet, Matthew J. Goeckner (The University of Texas at Dallas)
An Electron Beam Exciter device has been developed to perform as a controllable optical emission spectroscopy diagnostic system.1 In this design, a small to moderate amount of current extraction (0-5 mA) is necessary to achieve the desired emission signal to produce reliable optical measurements utilized in etch process monitoring and control. Current extraction from this downstream plasma system is achieved in a Non-ambipolar Flow regime.2 Non-ambipolar flow occurs when all electron thermal flux, from the exciter plasma system, exits through a uniform electron sheath formed about the perimeter of a Nozzle Aperture (area Ae) while the ions are lost to the Exciter ICP grounded wall (area Ai). Electron extraction from the exciter ICP is maximized when the ratio of the ion loss collection area (Ai) to the electron loss collection area (Ae) is proportionally equal to the square root of the ratio of the ion mass to the electron mass, and the ion sheath potential drop to the Exciter ICP grounded wall is much larger than Te/e.2,3 To investigate the degree of Non-ambipolar Electron flow in our device, we have tested a set of manufactured Nozzle extractors with different geometrical dimensions so as to discern the influence of Ai/Ae on the electron extraction process in low pressure (5-35 mTorr) Ar discharges. Strong agreement is observed between the measured plasma parameters at the ICP extraction region and the total amount of extracted current, Ie. In addition there is a measurable energy dependent response in the ratio of Ii(E)/Ie(E) indicative of electron-atom/molecule collision cross section for ionization. We have measured this ratio response in Ar and O2atmospheres. This project is funded by NSF-Grant (CBET - 0922962) and Verity Instruments.
 
1P. L. Stephan Thamban; J. Hosch; M. J. Goeckner. Rev. Sci. Instrum,2010, 81, 013502
2B. Longmier; S. D. Baalrud; N. Hershkowitz. Review of Scientific Instruments, 2006, 77, 113504 (1-8)
3S. D. Baalrud; N. Hershkowitz; B. Longmier. Physics of Plasmas, 2007, 14, 042109 (1-6)
PS-ThP-22 Characterization of an ECR Etching Reactor using a Retarding Field Energy Analyzer
Borislav Dolinaj, David Gahan, Paul Scullin, Donal O' Sullivan, Mike Hopkins (Impedans Ltd., Ireland); Vladimir Milosavljevic, Stephen Daniels (NCPST Dublin City University, Ireland)

Microwave plasma sources are widely used in industrial plasma processing devices for their favorable characteristics. In particular, electron cyclotron resonance (ECR) reactors offer a number of desirable characteristics for plasma etching and deposition, including higher plasma density, lower ion energy, lower pressure operation, and higher uniformity compared with the conventional radio frequency (RF) type plasmas. ECR devices designed for commercial use usually provide the user with very limited access for controlling and characterizing the plasma conditions.

Retarding field energy analyzers (RFEAs) are generally used to measure the ion energy distribution function (IEDF) at electrically grounded surfaces. An RFEA can also be used to measure IEDFs at the surface of radio-frequency (RF) driven electrodes by electrically isolating it from the ground reference. The RFEA then “follows” the RF bias without disturbing it. Using the same principle, but applying grid bias signals of opposite polarity, one can also measure the electron energy distribution function (EEDF) at the surface of RFEA. From the measured IEDFs and EEDFs it is possible to calculate the respective ion and electron flux and energy. These quantities are key parameters in plasma surface processing. Therefore, the metrological capabilities of the RFEA together with its non-perturbing in situ operation makes it a convenient diagnostic tool for characterizing plasmas in devices like ECR etching reactors.

In this work we present IEDF measurements at the surface of an RF driven electrode using a RFEA installed in an industrial ECR etching reactor. The RFEA is mounted at the RF biased electrode (on which the SiO2 wafer is mounted) and is connected through high input impedance low pass filters to the ground referenced grid bias signals. The RFEA installation does not require any modifications to the reactor since the signal cabling is taken out to atmosphere through an existing unused port located in the vacuum pump tunnel. The discharge control parameters were varied as follows; pressure 5 to 20 mTorr, flow rate 10 to 50 sccm of pure argon and oxygen, microwave input power 750 to 1500 W, RF input power 0 to 250W, and a variety of magnetic field profiles. The radial location of RFEA has also been varied. The measured fluxes and energy distribution of the ions and electrons impacting the wafer surface are presented and discussed.

PS-ThP-23 Simulations of the Radial Line Slot Antenna Plasma Source
Peter Ventzek (Tokyo Electron America); Shankar Mahadevan, Laxminarayan Raja (Esgee Technolgies); Toshihiko Iwao (Tokyo Electron Technology Development Institute, INC.); Lee Chen, Merritt Funk, Barton Lane, Radha Sundararajan (Tokyo Electron America); Jun Yoshikawa (Tokyo Electron Technology Development Institute, INC.); Jianping Zhao (Tokyo Electron America); Toshihisa Nozawa, Caizhong Tian, Kiyotaka Ishibashi (Tokyo Electron Technology Development Institute, INC.)
The Radial Line Slot Antenna (RLSA) plasma source couples microwave power through a slot antenna structure and window to a plasma characterized by a generation zone adjacent to the window and a diffusion zone that contacts a substrate. The diffusion zone is characterized by a very low electron temperature. This property renders the source useful for soft etch applications and thin film processing for which low ion energy is desirable. Models of the RLSA source typically include ambipolar diffusion as an approximation enabling 2D and 3D simulations to be feasible. While such models have predictive value, they also have shortcomings when surface charging, capacitive coupling through a substrate or electronegativity are important. In this presentation we describe a self-consistent fluid model of the plasma kinetics of the RLSA source and demonstrate 3D source characteristics for benchmark argon and oxygen plasmas with and without capacitive coupling. Comparisons between the ambipolar model and self-consistent model are made.
PS-ThP-24 Dual-Coil, Dual-Frequency ICP Source for Plasma Processing
Vladimir Nagorny, Dongsoo Lee (Mattson Technology, Inc.)

RF plasma sources used in modern plasma etch applications are required to provide a high plasma uniformity and variety of plasma controls, including independent plasma profile, plasma density and ion energy controls. They must be able to sustain a stable plasma in a very different gases and under very different conditions (gas flow, gas pressure, etc.). Finally, going forward the system has to produce a minimum impact on environment (energy consumption, EM emission). Mattson Technology has developed an etch tool with a new and efficient ICP source that uses two coils operating at significantly different frequencies (13.56 and 2 MHz) by utilizing a ferromagnetic core in a low frequency coil. Tests on the tool revealed that

· It provides high-density plasma generation, with no capacitive coupling between plasma and the source;

· There is no interference between higher and lower frequency RF subsystems;

· It provides good plasma and process profile control;

· It has wide processing window (gas pressure, gas flow, gas composition, source and bias power);

· High efficiency and stability of operation with both electropositive and electronegative gases.

PS-ThP-25 Development of Inductively Coupled Hydrogen Plasma Source with a Ceramic Tube
Janghwee Cho, Jack Yang (PSK Inc., Republic of Korea); Se-Geun Park, Dahyuk Lee (Inha University, Republic of Korea)
Organic photoresist in semiconductor photolithographic process has been removed by downstream oxygen plasma which is generated in a quartz chamber or tube. In case of stripping heavily ion-implanted photoresist whose surface is carbonized by energetic ions, it is sometimes necessary to add CF4 gas to oxygen. Moreover, hydrogen based plasma begins to be used to strip photoresist coated on low-k dielectric layers, where oxygen plasma is known to degrade low-k dielectric films. However, fluorine or hydrogen ions can attack the quartz walls and generate particles. To solve this problem, plasma generation chamber should be made of materials inert to fluorine or hydrogen ions. In this work we have designed and constructed a plasma source chamber made of ceramic tube. A 12 cm diameter ceramic tube is surrounded by a Faraday shield and wound by a 4 turn helical coil connected to 13.56 MHz RF power. There are also two turn dummy coils, which are electrically grounded, at the top and bottom sides of the 4 turn power coil. All coils have hollow square cross-section and cooling water flows through the coils. At the bottom of the 25 cm long tube an electrically grounded baffle with many small holes are located and only radicals are fed to the stripping process chamber for 300 mm wafers. Because ceramic materials usually have larger thermal expansion coefficient than quartz, larger thermal stress exists in the ceramic tube across tube thickness and at gaps between cooling coils, which results in breakage of ceramic tube. It is very important to achieve good thermal contacts between cooling water coils and tube wall and thus small temperature gradient in the tube. We have investigated the temperature profiles and thermal stress to the ceramic tube in terms of ceramic tube materials (Al2O3 and AlN), types of ceramic paste to glue cooling coils to the ceramic tube, size of cooling coils, thickness of ceramic tube, and RF impedance matching methods. It is found that AlN which has larger thermal conductivity than Al2O3 shows no breakage of tube. Size of cooling coils and types of ceramic paste have no effect on tube breakage. In case of Al2O3, thinner tube survives from breakage. It is confirmed that little side wall attack is observed after 1000 runs of photoresist strip by H2/N2 plasma.
PS-ThP-26 Capability of Microwave Plasma Source for 450 mm Etching Apparatus
Shinji Obama, Masaru Izawa, Hitoshi Tamura (Hitachi High-Technologies Corp., Japan); Kenji Maeda (Hitachi Ltd., Japan)

To produce semiconductors at low cost, the transition to 450 mm-sized wafers is being considered. For semiconductor fabrication on 450 mm substrates, the etching performance is expected to equal or exceed 300 mm substrate capability with improved productivity requirements. A 450 mm substrate capable apparatus was developed on a proven plasma source using microwave electron cyclotron resonance (ECR) currently utilized for 300 mm equipment. We have evaluated plasma uniformity by using: 1) Single Langmuir probe with -50 V bias to measure ion current flux (ICF) distribution in the plasma reactor. The plasma generated area and the spatial distribution of ICF were scanned in the radial and z-axis directions in the reactor. 2) A 41-point wafer stage to measure ICF distribution. 3) Etch rate uniformity of poly-Si and SiO2 using coupon samples attached on 450 mm bare Si wafers. We used HBr/Cl2/O2/Ar gas and 0.1-10 Pa pressure range as test conditions.

We found that the high density plasma generated at the ECR zone and the shape of the plasma was ring-shaped. This ring-shaped plasma diffused and became increasingly uniform, finally becoming almost flat at a height of 25 mm above the wafer stage. To evaluate ICF distribution of the wafer stage, 41 pads were used to measure plasma density distribution using about 500 test conditions. By controlling the magnetic fields, non-uniformity of ICF below ±5% was achieved for several test conditions of gas and pressure. Poly-Si and SiO2 etching rate were observed to be very similar to rates seen using 300 mm substrate equipment with non-uniformity below ±1% within a wafer.

In this preliminary study, though we need more process evaluation by using whole blanket wafers and patterned wafers, the microwave ECR plasma apparatus for 450 mm wafers achieved the same level of process performance as the 300 mm equipment.
PS-ThP-27 Plasma-based Techniques for Doping Three-Dimensional Structures
George Papasouliotis, Keping Han, Harold Persing, Ludo Godet (Varian Semiconductor Equipment Associates, Inc.)
Increasingly stringent demands for maximum device speed and control of random dopant fluctuation effects dictate changes in device architecture, with FinFET adoption becoming a viable possibility for device scaling at and beyond the 15 nm technology mode. Three-dimensional architectures pose a fundamental challenge for traditional ion beam implant processes, since, depending on the aspect ratio of the structure, certain sections can be inaccessible to the dopant beam. Plasma immersion ion implantation (Plasma Doping), which has been adopted as an enabling, production-worthy technology in the ultra high dose and low voltage regime for advanced DRAM device nodes, can be an alternative capable of overcoming these limitations. In this work, experiments are carried out using a VIISta PLAD system, which uses an ICP source to generate a discharge and a series of negative dc voltage pulses applied to the substrate to accelerate ions towards it. Silicon trenches are implanted using an AsH3-containing gas mixture in the PLAD reactor. The presence and activation of the N-type dopant onto the #D structures are characterized by physical (Secondary Ion Mass Spectrometry, Transmission Electron Microscopy, Energy Dispersive X-ray spectroscopy) and electrical methods, and discussed in conjunction with analysis of the gas phase in the plasma chamber. Our experimental results indicate the occurrence of a combination of implant and ion assisted deposition processes. It is shown that balancing the constituents of a plasma doping process and plasma characteristics such as electron temperature and gas phase composition is required to allow dopant incorporation into sidewalls of 3D structures.
PS-ThP-28 Study of Low-k Dielectric Damage in a Radial Line Slot Antenna (RLSA) Reactor
Yoshio Susa (Tokyo Electron Technology Development Institute, INC.); Radha Sundararajan, Jianping Zhao, Lee Chen (Tokyo Electron US Holdings Ltd.); Toshihisa Nozawa (Tokyo Electron Technology Development Institute, INC.); John Mucha, Demetre Economou, Vincent Donnelly (University of Houston); Richard Wise (IBM Research)
Low-k dielectrics are widely used as insulating materials in ULSI circuits. In BEOL processes, etching of low-k layers can cause serious damage, especially during photoresist ashing. In this work, a Radial Line Slot Antenna (RLSA) microwave plasma source was used in an effort to minimize damage to low-k dielectrics as a result of photoresist ashing. The focus of the work was ashing in CO2–containing gas mixtures of test wafers partially covered with blanket low-k and photoresist layers. Damage to the SiCOH (k=2.5) low-k dielectric was characterized using Spectroscopic Ellipsometry, FTIR and XPS. It was found that low pressure (~ 5 mTorr) and high bias voltage (~2000 V) were beneficial in terms of minimizing low-k damage. XPS revealed that, under these conditions, an oxide “crust” formed on the surface of the low-k, that apparently protected the underlying material. Low pressure favors an enhancement of the ratio of the ion –to- O-atom flux. Energetic ions can be instrumental in forming this oxide crust by near surface ion implantation. The oxide hinders diffusion of the (already low density) O atoms into the low-k film resulting in minimal damage.
PS-ThP-29 New Pulsed Plasma Generator for producing near Arc Free Discharges for Reactive Magnetron Sputter Processes
Roman Chistyakov, Bassam Abraham (Zond Inc/ Zpulser); J.Y. Park (SEMICAT Inc)

New pulsed plasma generator for reactive magnetron sputter processes was developed. (old sentence)

A new pulsed plasma generator for reactive magnetron sputter processes has been developed. (new sentence)

Compare with existing pulsed DC plasma generators new generator has high current capabilities in the range of 100 – 300 A.(old sentence)

Compared to existing pulsed DC plasma generators, the new generator has high current capabilities in the range of 100 – 300 A. (new sentence)

In proposed method near arc free magnetron discharge in reactive atmosphere can be generated by adjusting the frequency and amplitude and shape of the voltage pulses. (old sentence)

In the proposed method, near arc free magnetron discharge in reactive atmosphere can be generated by adjusting the frequency and amplitude and shape of the voltage pulses.(new sentence)

The application of new pulse plasma generator for reactive sputtering of SiN, SiO2, AlN and TiO2 films at ENDURA 200 mm tool (AMAT) will be discussed. (old sentence)

The application of new pulse plasma generator for reactive sputtering of Si3N4, SiO2, AlN and TiO2 films at ENDURA 200 mm tool (AMAT) will be discussed.(new sentence)

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule