AVS2006 Session SE-WeM: Glancing Angle Deposition

Wednesday, November 15, 2006 8:00 AM in Room 2007

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic SE Sessions | Time Periods | Topics | AVS2006 Schedule

Start Invited? Item
8:00 AM SE-WeM-1 New Developments in Glancing Angle Deposition
M.J. Brett (University of Alberta, Canada)
The Glancing Angle Deposition (GLAD) process has been the subject of considerable study over the last 10 years, and significant improvements in the quality of and control over film nanostructure have been demonstrated. This presentation will report two of the recent developments that have extended the range of materials deposited and have provided new architectures with promising applications. First, using GLAD in conjunction with an advanced substrate motion algorithm called PhiSweep, we have been able to tune the column diameter of vertical post microstructures from the typical 200nm width to values as small as 20nm, demonstrated in both Si and Ti films. This control is enabled by a periodic sweep of the substrate about the desired orientation, in a manner that defeats the tendency of a growing column to broaden. An application of such films to microfluidics will be demonstrated. Second, we have recently used e-beam evaporation and the GLAD process for deposition of organic tris-(8-hydroxyquinoline) aluminum (Alq3) with chiral and post nanostructures. The films produced show helices arranged in a near periodic self organized array over the substrate, and also display a remarkable structural uniformity. Alq3 is commonly used as an organic luminescent material, thus characterization of the luminescent and optical properties will be reported.
8:20 AM SE-WeM-2 Effects of Shadowing on Microstructure Evolution during Oblique Angle Deposition
T.-M. Lu (Rensselaer Polytechnic Institute)
The study of thin film surface morphology and microstructure is still one of the most intriguing and challenging research areas in thin film science and technology. The geometrical structure and crystal orientation of the thin film growth front formed by the physical vapor deposition technique are controlled by many factors including: surface diffusion, sticking coefficient, and shadowing. Instabilities of growth can occur if the shadowing is more dominant compared to other surface effects and can lead to many diverse physically self-assembled 3D quasi-periodic nanostructures. In this talk I will discuss the fundamental nucleation and growth mechanisms of isolated islands resultant from the shadowing effect in an oblique angle deposition configuration (which becomes a glancing angle deposition when the angle between the incident flux and the surface normal is close to 90o). We explain the formation of the quasi-periodic nature of the islands, which transform into a columnar structure, by a â?~â?~shadowing lengthâ?Tâ?T concept that is similar to the surface diffusion length in conventional thin film growth. We show that the change in the spatial frequency of the periodicity is a result of the elimination of shorter columns due to the shadowing effect during growth. Another interesting aspect is the dramatic change of crystal orientation of the islands during growth. Typically, the initial islands with random crystal orientations are transformed into a fiber texture structure with a tilt angle (with respect to the surface normal) which is correlated to the angle of the incident deposition flux. Vertices of columns having the highest growth velocity normal to the substrate receive the maximum flux and would dominate the film growth. Most intriguingly, the columns often develop a well defined azimuthal orientation even when the substrate is amorphous. The shadowing effect combined with the geometrical effect is proposed to explain these phenomena.
9:00 AM SE-WeM-4 Super Poisson Growth through Glancing Incidence Deposition
H. Wormeester, F.L.W. Rabbering, T. Warnaar, B Poelsema (MESA+ Institute for Nanotechnology, Netherlands)
Short - and long range attractive forces between a substrate and an incoming atom result in a morphology dependent heterogeneous flux. Already at submonolayer coverage, this flux heterogeneity leads to shape anisotropy of Cu-ad-structures on Cu(001). With increasing coverage the anisotropy becomes progressively larger and above 20 ML strongly rectangular mounds have formed. The anisotropy has been revealed by high resolution electron diffraction. For Co on Cu(001) also the formation of a strongly uniaxial magnetic axis was observed. The evolution of the morphology as a result of the steering effect, the change in trajectory of incoming atoms as a result of attractive forces has been modeled within a kMC scheme that takes into account many of the diffusion processes known to occur on the Cu(001) surface. Simulations show that pure geometric shadowing does not lead to the reproduction of most of the experimentally observed anisotropy features. However, the incorporation of the steering effect does allow to reproduce many of the experimental details. The focus of the flux near ascending steps results in a strong increase of the roughness of the grown layer. For normal incidence, the roughness increases finally with an exponent β=0.5, i.e. a Poisson distributed roughness, while initially even growth oscillations are observed. Above a polar angle of incidence of 60°, the roughness increase is much larger and exponents up to β=2 are found, i.e. super Poisson roughness. Both the asymmetry and the strong roughness increase bear potential for technological applications.
9:20 AM SE-WeM-5 Formation of Al Nanowires by High Temperature Glancing Angle Deposition
M. Suzuki, K. Nagai, K. Nakajima, K. Kimura (Kyoto University, Japan); T. Okano, K. Sasakawa (KOBELCO Research Institute, Inc., Japan)
It is well known that the physical origins of the columnar structure in obliquely deposited thin films are the self-shadowing effects and the limited mobility of the deposited atoms. In order to keep the mobility at low value, the substrate temperature was kept as low as possible in most works on the morphology control by oblique deposition. Recently, some peculiar properties for the crystallographic orientation1 and the compositional distribution2 in the obliquely deposited thin films have been reported. These works suggests that the novel/metastable phases can be expected to grow by controlling the mobility of obliquely deposited adatoms. However, it is not easy to predict the growth mode for the high temperature oblique deposition, since conventional crystal-growth theories treat only the case in which the atoms are supplied homogeneously. In this work, we investigated experimentally the growth of obliquely deposited Al films on a high temperature substrate. Al was deposited onto a Si or glass substrate held at a temperature of RT-400 °C at a deposition angle α=58-85°. Average thickness of deposited Al was 72-78 nm for all samples. The ordinary oblique columnar structures, which were observed in the films deposited at RT, completely disappeared for the samples deposited at 400 °C and the rugged granular structures were formed. In addition to the grains, we found nanowires of 30-300 nm in diameter and > 0.5 µm in length for the samples deposited at alpha > 73°. TEM observations clarified that these nanowires were single crystalline aluminum. The number and the size of nanowires increased with increasing α. This indicates that the shadowing effect plays an important role even at the high temperature region, where the mobility of adatoms becomes high.


1 T. Karabacak et al., Appl. Phys. Lett., 83 (2003) 3096.
2 S. Jomori et al., Mater. Res. Soc. Symp. Proc., 849 (2005) 127.

9:40 AM SE-WeM-6 Ta Nanocolumns Grown by Glancing Angle Deposition: Effect of Surface Diffusion on Column Branching, Merging, and Growth Competition
C. Zhou, D. Gall (Rensselaer Polytechnic Institute)
Periodic arrays of Ta nanocolumns were grown by glancing angle sputter deposition onto patterned substrates at growth temperatures Ts ranging from 200 to 900 °C. The substrates were patterned using colloidal self-assembly of 260-nm-diameter SiO2 nanospheres that form a close-packed monolayer. At low growth temperatures, Ts ≤ 500 °C, the 200-nm-wide and 500-nm-tall Ta columns replicate the hexagonal arrangement of the initial pattern. However, the arrays randomize with increasing Ts and completely degrade at Ts = 900 °C, due to strong inter-pillar competition caused by the increasing adatom diffusion length with increasing Ts. The competitive growth mode results in a decrease in the pillar separation and pillar number density, an increase in the average pillar width, the accelerated growth of some pillars at the cost of others which die out, and an increased probability for the merging of neighboring pillars. In addition, kinetic roughening at pillar tops leads to the branching of some pillars. The fraction of branched pillars decreases with increasing Ts, due to an increased lateral diffusion length, from 30% at 200 °C to 4% at 700 °C. The branching at high Ts ≥ 500 °C occurs during the nucleation stage where multiple nuclei on a single SiO2 sphere develop into subpillars during a competitive growth mode which, in turn, leads to intercolumnar competition and the extinction of some nanopillars.
10:40 AM SE-WeM-9 Onset of Nanocolumn Formation in Extreme Self-Shadowing Conditions
A. Amassian (Cornell University); K. Kaminska (National Research Council, Canada); M. Suzuki (Kyoto University, Japan); L. Martinu (Ecole Polytechnique de Montreal, Canada); K. Robbie (Queens University, Canada)
We have investigated the early stages of growth of glancing angle deposited (GLAD) amorphous silicon (a-Si) films onto room-temperature c-Si (001) substrates. Using a combination of in situ spectroscopic ellipsometry and ex situ atomic force microscopy (AFM), we have found that following nucleation, the normal incidence (0o from substrate normal) deposit coalesces into a continuous and smooth film in the thickness (t) range between 1 < t < 5 nm, whereas the GLAD deposit (87o from substrate normal) does not coalesce, instead becoming increasingly porous for t > 1 nm. Atomic force microscope scans of GLAD deposits detected a significant roughening behaviour in this thickness range, and revealed large disc-like island formations with spacing in the range of ~45±10 nm. The difference in sub-nanometer growth behaviours of normal incidence and GLAD deposits are attributable to the presence of extreme atomic self-shadowing in the latter case, which significantly alters the nucleation and growth patterns of the first monolayers of a-Si with respect to normal incidence depositions. The disc-like formations form the seeds to nanocolumns observed during subsequent growth, a conclusion which is also supported by 3D Monte-Carlo simulations.
11:20 AM SE-WeM-11 Nanospring Pressure Sensors and Branched Nanorods grown by Glancing Angle Deposition
S.V. Kesapragada, D. Gall (Rensselaer Polytechnic Institute)
Periodic arrays of Cr zigzag nanosprings and nanorods, 15-55 nm and 40-80 nm wide respectively, and Y-shaped Cu nanopillars were grown on patterned substrates by Glancing Angle Deposition (GLAD) in pure Ar discharges at 1 mTorr. Cr nanospring and nanorod arrays exhibit a reversible change in resistivity upon loading and unloading, by 50% for nanosprings and 5% for nanorods, indicating their potential as pressure sensors. The resistivity drop is due to a compression of nanosprings (by a measured 19% for an applied external force of 10-10 N per spring), which causes them to physically touch their neighbors, providing a path for electric current to flow between nanosprings. We demonstrate a novel process for the formation of Y-shaped nanorods by exploiting the combination of atomic shadowing effects during GLAD and the spontaneous stacking fault formation in fcc metals. <110> oriented Cu nanorods self-organize into branched Y-structures due to stacking fault formation on two oppositely tilted 111-facets, followed by a growth suppression at the developing grain boundary.


1 S.V. Kesparagada, P. Victor, O. Nalamasu, and D. Gall, "Nanospring Pressure Sensors by Glancing Angle Deposition" Nano Lett. 6 [4] 854 (2006)
2 J. Wang, H. Huang, S.V. Kesapragada, and D. Gall, "Growth of Y-shaped Nanorods by Physical Vapor Deposition" Nano Lett. 5 [12] 2505 (2005).

11:40 AM SE-WeM-12 Optical Behaviour of Sculptured Thin Films
E.B. Schubert, F. Frost (Leibniz-Institut für Oberflächenmodifizierung e.V., Germany); J. Rivory (Pierre et Marie Curie Université, France); M. Schubert (University of Nebraska-Lincoln); B. Rauschenbach (Leibniz-Institut für Oberflächenmodifizierung e.V., Germany)
Glancing angle ion beam assisted deposition in combination with a computer controlled substrate rotation is a sophisticated method for the growth of sculptured thin film consisting of three-dimensional building blocks with customized geometries. Glancing angle deposition uses a very oblique angle-of-incidence particle flow that produces a highly porous thin films structure. The building block geometry within the sculptured thin films can be tailored by utilizing an additional azimuthal substrate rotation or a continuous substrate tilt yielding for instance to chevron-, screw- or post-like nanostructures. Sculptured thin films are grown from different materials such as aluminium, silicon dioxide or silicon. The growth is studied with respect to the particle flux angle-of-incidence, substrate temperature, substrate surface and substrate movement. Physical properties of sculptured thin films are mainly influenced by the nature of their three-dimensional building block components. This phenomenon will be discussed exemplarily on structure related optical properties with special emphasize on the demonstration of chirality in sculptured thin films by experimental and theoretical investigation of three-dimensional Mueller Matrix elements. Additionally, concepts for sub-wavelength antireflection coatings and optical nanogratings obtained from self-organized sculptured thin film growth will be demonstrated.
12:00 PM SE-WeM-13 Circular Bragg Reflectors Formed by Glancing Angle Deposition of Helically-Structured Thin Films
A.C. van Popta, J.C. Sit, M.J. Brett (University of Alberta, Canada)
The glancing angle deposition (GLAD) process is capable of generating thin films with anisotropic physical properties that can be manipulated to produce unique materials and devices. For instance, substrate rotation can be used to shape the columnar morphology of a GLAD film into helical structures that exhibit circular Bragg effects similar to cholesteric liquid crystals. At extremely oblique deposition angles, the high porosity of a helically-structured thin film can be used to create electrically-addressable hybrid optical materials by embedding liquid crystals within the pores of the thin film matrix, while less oblique deposition angles result in helical films that exhibit stronger circular Bragg reflections due to diminished scattering and enhanced form birefringence. By replacing the continuous rotation of the local optical axis in a helical GLAD film with discrete incremental rotations, it is possible to form polygonal helix structures that exhibit both left-handed and right-handed circular reflection bands, and introducing a twist defect or spacing layer defect will lead to the formation of narrow bandpass filters. This work will highlight experimental results involving helically-structured inorganic thin films produced by GLAD, including novel deposition schemes to control the form birefringence of a GLAD film, post-processing steps for enhancing optical anisotropies in GLAD films, and methods for depositing improved chiral filter designs.
Time Period WeM Sessions | Abstract Timeline | Topic SE Sessions | Time Periods | Topics | AVS2006 Schedule