AVS2006 Session NS-ThP: Nanoscale Science and Technology Poster Session

Thursday, November 16, 2006 5:30 PM in Room 3rd Floor Lobby

Thursday Afternoon

Time Period ThP Sessions | Topic NS Sessions | Time Periods | Topics | AVS2006 Schedule

NS-ThP-1 Scanning Tunneling Microscopy of Dinuclear Metal-Complex Molecules
S. Guo, Z.Q. Wei, S.A. Kandel (University of Notre Dame)
Scanning tunneling microscopy is employed to study the adsorption of dinuclear metal-complex molecules on a variety of substrates under ultra-high-vacuum conditions. These investigations are focused on the applicability of such molecules in quantum cellular automata-based molecular devices. These large molecules can show surprisingly strong interactions with the underlying substrate, leading to stable binding at room temperature and, in some cases, molecular orientation commensurate with the surface lattice. Direct molecular manipulation using the STM tip provides additional information about stability and binding, as well as indicating the feasibility of simple molecular device assembly.
NS-ThP-5 Electronic Conduction of the Titanium Silicide Nanowires Grown on Si(111) Surface
R. Stiufiuc, T. Soubiron, B. Grandidier, D. Deresmes, L. Patout, D. Stievenard (Institut d'Electronique, de Microelectronique et de Nanotechnologie, France)
Scanning tunneling microscopy (STM) and scanning tunneling spectroscopy (STS) are two experimental techniques very suitable for the study of fundamental mechanism of electronic transport in low dimensional systems such as nanowires. Due to their unusual physical properties the nanowires represent a promising candidate for future nano devices. In order to achieve this goal, the understanding of their unusual quantum properties is necessary. Using STM/STS techniques we have investigated the electronic transport of the self-assembled titanium silicide nanowires in ultrahigh vacuum conditions in the 77 - 4 K temperature range. By varying the temperature we observed a transition from a semiconductor behavior at 4 K, characterized by the presence of a well defined energy band gap, to a metallic one at 77 K where the band gap vanishes. We explain this change by the different role of the silicon surface states in the charge transfer mechanism of the system formed by TiSi2 nanowires and the silicon substrate. The semiconductor behavior observed at low temperatures is a consequence of the Schottky barrier formation at the interface between the nanowires and the silicon substrate, when the silicon surface states are frozen.
NS-ThP-6 Silver Nanowires Grown on Titania Films by Thermal or Photo Induced Reduction
C.Y. Huang, T.K. Chen, H.Y. Tang, M.S. Wong (National Dong Hwa University, Taiwan, R.O.C.)
Silver nanowires were synthesized simply by thermal or photo reduction of silver nitrate solution on anatase TiO2 films without any capping and reduction agent. The silver nanowires were 1-50 µm in length and 20-150 nm in diameter. Several parameters including reduction temperature, light energy and intensity, reduction time and the concentration and amount of the silver nitrate solution affected the formation of silver nanostructures. Scanning electron microscopy, transmission electron microscopy, X-Ray diffraction, and UV-Visible spectroscopy were used to characterize the silver nanostructures. The results provided a better understanding of the growth mechanism of silver nanowires formed by this simple route.
NS-ThP-7 Nano-Pocket Array for Protein Immobilization
K.J. Kim, W.-J. Kim, D.H. Ha, S.I. Park, W.S. Yun (Korea Research Institute of Standards and Science); H.J. Kang, S.J. Chung (Korea Research Institute of Bioscience and Biotechnology)
We report on the preparation of nanoscale pockets on a solid surface for protein immobilization. The nano-pockets were fabricated by e-beam lithography followed by reactive ion etching. Diameter and depth of the nano-pockets were both in the range of 30-150 nm. For some samples, thin gold layer was formed at the bottom of the pocket. The nano-pocket was first treated by either aminoalkylsilane or aminoalkylthiol depending on the material in the pocket. The pocket was then treated by succinic anhydride, NTA (Nitriloacetic acid), and Ni2+. His-tagged proteins such as GFP (green fluorescence protein) and PTP (protein tyrosine phosphatase) were then immobilized in the pocket by Ni-NTA. The fluorescence intensity of GFP and the catalytic activity of PTP were measured at intervals of a day to examine the effect of the nano-pocket on the protein stability.
NS-ThP-8 Imaging and Polarization Dynamics in Ultrathin Ferroelectric PVDF Copolymers by Piezoresponse Force Microscopy
B.J. Rodriguez, S. Jesse (Oak Ridge National Laboratory); J. Kim, S. Ducharme (University of Nebraska); S.V. Kalinin (Oak Ridge National Laboratory)
Ferroelectric polyvinylidene fluoride (PVDF) is widely used as a piezoelectric material because of its outstanding electromechanical properties. High-quality monolayer-thin films of PVDF and its copolymers are fabricated using a Langmuir-Blodgett technique, enabling applications such as all-polymer field effect transistors, polymer ferroelectric random access memories, and flexible ferroelectric electronic components. In order to realize the full potential of PVDF, significant progress must be made in nanoscale characterization of the structure of PVDF films. Here, the local structure, electromechanical activity, and polarization switching of ultrathin ferroelectric films of PVDF copolymers are studied by piezoresponse force microscopy (PFM). PFM imaging of PVDF thin films reveals ferroelectric domain sizes of less than 40 nm at a resolution below 5 nm. The combination of vertical and lateral PFM data reveals that the polar axes of the molecules are not orthogonal to the substrate. Local hysteresis loop measurements and local domain writing are performed to establish the potential of PVDF films for data storage and electronic applications. Unlike traditional ferroelectric thin films, PVDF exhibits switching on the order of milliseconds, which is attributed to a fundamental difference in the switching mechanism as compared to perovskite ferroelectrics. In PVDF, a significant change in molecular geometry, as opposed to dipole switching, is required to change the polarization orientation. To address the dynamic polarization behavior in PVDF, spatially resolved mapping of piezoelectric response is performed using switching spectroscopy PFM (SS-PFM). Lastly, the potential advantages of liquid PFM to minimize surface damage are discussed. These results provide a complementary view of structure, ferroelectric properties, and domain dynamics in PVDF on the nanoscale.
NS-ThP-9 Effects of Deforming of Carbon Nanotubes (CNTs) on Electrical Conductivities
Z. Xiao, M. Saafi (Alabama A&M University); W. Li (GE Global Research Center)
Dielectrophoresis (DEP) method was used to deposit, align, and assemble carbon nanotubes (CNTs) to bridge cross a pair of electrodes. Highly-purified HiPCO-grown single-walled carbon nanotubes (CNTs) from Carbon Nanotechnologies, Inc. (CNI) were used for the investigation. The Single-walled carbon nanotubes were ultrasonically dispersed in toluene, sodium dodecyl sulfate (SDS), and DI water. The CNT-containing solution was then dropped onto a pair of electrodes, which were patterned on a polyimide thin film coated on the oxidized silicon substrate, and an ac voltage was applied to the electrodes for generating an inhomogeneous electric field to attract CNTs onto the electrodes. Photolithography and e-beam lithography and lift-off techniques were used to fabricate the pair of electrodes, which are opposite to each other and has a gap of 1 µm or 3 µm. Each electrode is 50 µm long and 1 µm or 3 µm wide. The flexible polyimide thin film was coated on the substrate with a spin coater using PI 2525 from HD Microsystems, and had a thickness of about 10 µm. The polyimide film, together with the fabricated device on it, was finally stripped off from the substrate for the measurement of electrical conductivities versus the bending of polyimide thin films, which resulted in the deforming of CNTs. The current-voltage characteristics of CNTs depend on the form of CNTs [Z. Yao, et al, "Carbon nanotube intramolecular junctions", Nature 402, 273-276 (1999)]. The fabricated CNT Devices and the effects of deforming of carbon nanotubes (CNTs) on the electrical conductivities will be reported in the conference.
NS-ThP-10 Fabrication of Suspended Single-Walled Carbon Nanotube on Vertically-Aligned Carbon Nanofiber Templates and Electrical Characteristics
Z.G. Wu, C.H. Weng, H. Lin, W.Y. Lee, C.H. Tsai, K.C. Leou (National Tsing Hua University, ROC)
We have developed a new method to directly synthesize suspended carbon nanotubes (su-SWNTs) crossing the tips of vertically-aligned carbon nanofibers (CNFs) which were sharpened by energetic argon plasma.1 Via this approach, here we demonstrate the fabrication of electronic devices of novel su-SWNTs-on-CNFs structure and investigate the nano-sized contact between su-SWNT and CNFs as well as the electrical characteristics. Highly n-doped (phosphorous) poly-Si, due to its similarity to p-type single-crystalline Si substrate we used before, is demonstrated a good choice of under-layered electrodes for the fabrication of su-SWNTs-on-CNFs electronic devices. The electrical characteristics, including two (source/drain), three (source/drain/bulk-gate), and four (source/drain/bulk-gate/local-gate) terminal measurements reveal the electronic device features, such as hysteresis-free electrical transport, negative differential conductance, etc. Furthermore, we use multiple nano-probes to exert electrostatic forces, and in-situ observe the deformation of su-SWNTs in a scanning electron microscope to investigate the contact between su-SWNT and CNFs.


1C. H. Weng, W. Y. Lee, Z. Y. Juang, K. C. Leou, and C. H. Tsai, Nanotechnology 17, 1 (2006).

NS-ThP-11 Cutting of Multiwalled Carbon Nanotube Tip of Atomic Force Microscope
D.-H. Kim (KRISS, Korea); K.Y. Jung (NanoFocus Inc., Korea); J. Choi, S.J. Ahn, B.C. Park (KRISS, Korea)
We demonstrate cutting a multi-walled carbon nanotube attached on an atomic force microscope tip by flowing direct current through the tube, as a method to precisely control nanotube tip length. Mechanical contact was made at the target cutting position between a sharp metal wire (electrode 1) and a nanotube on silicon mother tip (electrode 2), and then a voltage was applied to electrode 1 until a current flowed through and cut the nanotube. Nanotubes were reproducibly cut at the contact point with the wire, and as the results we could achieve 30 nm as the cutting precision, and 100 nm as the shortest nanotube protrusion. We found that the process happened at either current ranges: higher than 100 µA and as small as 1 µA. High current-process happens fast and is attributed to unimolecular decomposition reaction, while small current-process is a slow chemical etching, being activated by the current.
NS-ThP-12 Diffusion Characteristics of Gases through Y-shaped Carbon Nanotubes using Molecular Dynamics Simulations
J. Myers, S.-J. Heo, S.B. Sinnott (University of Florida)
In the modern pharmaceutical and chemical industries, solutions of extremely high purity are needed. Current filtration methods are reaching the limits of their abilities, so new filters must be developed. One possible filter is a Y-shaped carbon nanotube (Y-tube). By changing the sizes of the arms of the Y-tube, custom molecular filtration is theoretically possible. Through the use of classical molecular dynamics simulations, these hypotheses will be tested. These particular simulations use Y-tubes of different sizes and chiralities to determine the effect of these factors on gaseous diffusion and separation. Gas reservoirs of methane and an isobutane/methane mix are allowed to diffuse through each Y-tube. In the case of the isobutane/methane reservoir, it is hypothesized that the larger molecule, isobutane, will not diffuse down the smallest arm. The overall goal of this research is to gain a fundamental understanding of diffusion through Y-shaped carbon nanotubes to support and guide future studies. This research is supported by a National Science Foundation grant through the Network for Computational Nanotechnology (grant no. EEC-02288390).
NS-ThP-13 Conductance Plateau Length of Au Single-Atom Contacts
R. Suzuki, S. Kurokawa, A. Sakai (Kyoto University, Japan)
We have measured the length distribution of 1G0 (G0 is the quantum unit of conductance) plateaus of Au single-atom contacts in UHV at room temperature. It is found that the distribution has a slowly decaying tail and can be well fitted by a power-law behavior. The exponent little depends on the bias and takes a value close to -1. Thus, the 1G0 plateau length, which is the lifetime of Au single-atom contacts, obeys the so-called Zip's law. We also found that the average plateau length decreases with increasing the bias but increases when measured in air. Probably, gas adsorptions on Au single-atom contacts in air act to improve their stability.
NS-ThP-14 Self-Organized Growth of Magic Planar Ag Nanopucks
Y.P. Chiu (Academia Sinica and National Sun Yat-Sen University, Taiwan); Y.S. Ou, Y.R. Chang (Academia Sinica and National Taiwan University); C.M. Wei, C.S. Chang, T.T. Tsong (Academia Sinica, Taiwan)
Detailed calculations based on ab initio density functional theory have been made to study the formation of two-dimensional metal nanostructures. An analysis with metal nanostructures arranged in different shapes for a chosen size has been made to investigate how the size and shape effects related to electronic confinement influence the stability. Furthermore, based on the calculations of the second differences in total energy, it is shown that for Ag and Au two-dimensional geometries can exist in free space. In the report, the noble self-organized growth of magic planar Ag nanopucks on Pb islands has been observed in experiment by STM. According to the binding energy per atom as function of the nanopuck size, it clearly indicates that when the Ag nanopuck grows to a certain size, the geometrical effect takes hold from the electronic effect as the major attribute, which drives the Ag nanopucks towards well defined hexagonal crystalline structures. The transition takes place between around 30 and 60 atoms.
NS-ThP-15 The Effect of Relative Humidity on Atomic Force Microscopy Local Oxidation of Silicon Nitride Film for Mask Fabrication
H.F. Hsu, C.W. Lee (National Chung Hsing University, Taiwan, R.O.C.)
Atomic force microscope (AFM) induced local oxidation on silicon nitride thin film of silicon substrate which is in contact mode is presented. This local anodic oxidation was subjected to its kinetics and mechanism in varying relative humidity. The kinetic results are observed to have a logarithmic relationship of oxide height versus voltage pulse duration [h=Lcln(t/t0)] and an exponential decay relationship of the growth rate to oxide height [dh/dt=R0exp(-h/Lc)]. Both the onset time t0 and the characteristic decay length Lc increase during experiments at lower relative humidity and the lateral oxidation growth rate decreases. As the result, we can fabricate the high-aspect-ratio of the oxide by controlling the ambient humidity. Due to the large etching selectivity in various etchants between Si3N4, SiO2 and Si, an AFM patterned silicon nitride film mask with different size open windows can be made to fabricate nanoscale structure on silicon substrate.
NS-ThP-16 Evaluation of Pattern Profiles Replicated by Nanoimprint Using AFM with Carbon Nanotube Tip
J. Igaki, K. Nakamatsu (University of Hyogo, Japan); K. Tone (Meisyo Co., Japan); T. Nishimura (SII NanoTechnology Inc., Japan); S. Matsui (University of Hyogo, Japan)
Nanoimprint lithography (NIL) is a very useful technique to make nanostructure devices with low cost and high throughput. A various resist polymers such as PMMA are used as replicated materials for NIL. The observation of replicated pattern profile is usually carried out after cleaving a pattern by scanning electron microscopy (SEM). However, it is difficult to use this method to accurately position the cleavage for a cross-section of a specific pattern with nano dimensions. In contrast, atomic force microscope (AFM) evaluation enables non-destructive measurement without contamination or irradiation damage inevitable in SEM evaluation. Therefore, evaluation of replicated pattern using AFM has potential advantages. In this paper, we evaluated profiles of SiO2 mold (200 nm-thick SiO2 on Si) and PMMA replicated pattern on a Si substrate by AFM using carbon nanotube (CNT) as a probe. By using carbon nanotube as a probe, it enables obtaining a high resolution and high aspect pattern profile. First, a SiO2 pattern as a thermal NIL mold was fabricated by EB lithography and dry etching process. Next, PMMA patterns were replicated by thermal NIL process using SiO2 mold. SiO2 mold was pressed into PMMA layer at 120°C at a set press-pressure of 20 MPa. Finally, the shapes of SiO2 mold and PMMA replicated pattern were evaluated by AFM with CNT tip (L-trace, SII NanoTechnology Inc.). The pitch, line width, height and angle of gradient of the SiO2 mold were 600 nm, 221 nm, 198 nm and 82°, respectively. The pitch, line width, height and angle of gradient of the PMMA replicated pattern pressed at 120 °C were 604 nm, 226 nm, 194 nm and 82°, respectively. In this way, the shapes of SiO2 mold and the PMMA replicated pattern were well evaluated by AFM with CNT tip.
NS-ThP-17 Nanoimprint Mold Repair by Focused-Ion-Beam Etching and Deposition
M. Okada, K. Nakamatsu, S. Matsui (University of Hyogo, Japan)
Nanoimprint lithography (NIL) in recent years is beginning to attract much attention from many industrial fields because of the advantages to provide various nanostructure devices with a high-throughput and low cost. In particular, mold is the key element in imprinting because the mold patterns transferred directly in replication materials. Therefore, repair techniques are required for the NIL mold. However, there are only a few papers reporting on the repairing of the NIL mold. In this paper, we demonstrate repair of a NIL mold with defects of protrusions and hollows by focused-ion-beam (FIB) technology. A beneficial advantage in use of FIB technology is that mask repair can be achieved with high-resolution because of the fine diameter of FIB of 5 nm. We performed repairing of a defective NIL mold using a commercial FIB apparatus with Ga+ ion-beam operating at 30 kV. Defects of protrusions contained in NIL mold were successfully repaired by FIB etching. Hollow defects of NIL mold were also repaired by FIB chemical vapor deposition (CVD) using C14H10 gas as a precursor. Diamond-like carbon thin layer deposited by FIB-CVD plugged the hollow defects. The mold patterns repaired by FIB etching and CVD were successfully imprinted in PMMA resin on a Si substrate, and then the PMMA imprinted patterns were transferred into Si substrate by CF4 reactive-ion-etching while the patterns were used as the etching mask. The results of repairing a defective NIL mold, and replicated patterns imprinted using the repaired mold will be presented at the conference.
NS-ThP-18 Mechanical Characteristics of Metal-Containing Nanosprings Fabricated by Combination of FIB-CVD and Sputter Coating
K. Nakamatsu, K. Kanda, Y. Haruyama (University of Hyogo, Japan); T. Kaito (SII NanoTechnology, Japan); S. Matsui (University of Hyogo, Japan)
Three-dimensional (3D) nanoscale components such as spring structures are necessary to built a high performance nanoelectromechanical system. To form the 3D nanostructures, we have proposed the use of focused-ion-beam chemical vapor deposition (FIB-CVD). FIB-CVD promises to generate various 3D nanostructures, and has an efficient capability to provide them of various materials. In our previous experiments, diamond-like carbon (DLC) nanosprings were fabricated by FIB-CVD. The DLC nanosprings showed a unique property to expand and contract as flexibly as macro-scale springs. However, the DLC springs lack electrical characteristics because of the high resistivity. In this paper, we investigate mechanical properties of sputter-coated metal FIB-CVD nanosprings. Metal-containing springs are useful for the nanoelectrical applications due to its low resistivity. Although metal-containing springs can be grown by FIB-CVD, there is a serious problem that undesired materials such as C and O are also incorporated. Therefore, we propose here the combination of FIB-CVD and metal sputtering to fabricate the pure metal nanosprings. The fabrication is as follows. (1) A DLC nanospring was fabricated by FIB-CVD using C14H10 gas as a precursor. (2) O2 reactive-ion-etching was performed to remove a large amount carbon formed on a core region of Ga. Only the core region of Ga without deformation of a coil structure remained after this process. (3) Metal was coated onto the nanospring by sputter coating. We selected several materials as the metal for the sputter coating on the nanosprings. Metal thin layer was successfully coated onto a nanospring by sputter coating. The metal-coated nanosprings were able to expand and contract mechanically. A spring constant of the metal sputter coated nanosprings were able to be obtained from Hookeâ?T s law. The mechanical characteristics of the several kinds of sputter-coated metal nanosprings will be presented at the conference.
NS-ThP-19 Development of the Integrated Manipulation System using 3-D Nano-Manipulator Fabricated by the Focused-Ion-Beam Chemical-Vapor-Deposition
R. Kometani, K. Kanda, Y. Haruyama (University of Hyogo, Japan); T. Kaito (SII NanoTechnology Inc., Japan); S. Matsui (University of Hyogo, Japan)
Nano-factory is necessary to carry out the manipulation, manufacturing and analysis by high-accuracy. For the nano-factory creation, the high-performance nano-tools such as manipulator, emitter, sensor and so on are required. Therefore, we have been researching the nano-tool with the three-dimensional (3-D) structure by using the focused-ion-beam chemical-vapor-deposition (FIB-CVD) for giving the high-performance to the nano-tools. FIB-CVD is the key technology for 3-D nano-structure fabrication. This time, we established the integrated manipulation system by using 3-D nano-manipulator fabricated on the tungsten probe by FIB-CVD. 3-D nano-manipulator have 4-fingers, made of the diamond-like carbon, for catching the target with various structures flexibly. Gap distance of fingers was about 500 nm. Driving force of the 3-D nano-manipulator is the repulsive force. So the fingers of the 3-D nano-manipulator can open by applying voltage from the tungsten probe to fingers. It was possible to control the finger opening of the 3-D nano-manipulator with about 0.5 nm/V. And a tungsten probe as a monopole electrode was connected with a commercial piezo manipulator to control the position of the 3-D nano-manipulator. This result indicates that highly accurate control of the 3-D nano-manipulator can be carried out in the nano-factory. Furthermore, the manipulation of the glass ring as nano-parts was succeeded by using integrated manipulation system having the 3-D nano-manipulator in the vacuum chamber of FIB-CVD-system. These results indicate that our integrated manipulation system having the 3-D nano-manipulator is very useful tool to use in the nano-factory.
NS-ThP-20 Controlled Growth of Nanostructured Complex Oxides by Pulsed Laser Deposition Nanostenciling
C.V. Cojocaru, O. Gautreau, C. Harnagea, F. Rosei, A. Pignolet (Universite du Quebec, Canada)
We have investigated an alternative approach to conventional patterning, namely nanostenciling. Along with its suitability for direct patterning of complex materials, we demonstrated its unique flexibility in combining different functional material films on various substrates. Among functional materials, the complex oxides family displays a range of interesting properties and useful response to various stimuli such as electric, magnetic, and stress fields. These properties, including piezoelectricity, ferroelectricity or high-temperature superconductivity have been shown to be directly related to the structural quality and thus to the details of the fabrication processes of the grown thin films. We will report how various features drawn in miniature microfabricated masks (nanostencils) can be successfully transferred directly to the surface in the form of nanostructures of functional complex oxides (e.g. SrRuO3, BaTiO3, BiFeO3) by pulsed-laser deposition (PLD), and will discuss in detail the advantages of the technique. The process is rapid, resist-less and does not interfere with the structures natural growth dynamics. On one hand, this approach allows organizing the structures in the desired architectures; on the other it conserves their individual functionality (e.g. ferroelectricity at the nanoscale proven by piezoresponse force microscopy). We will also discuss the application of a nanoscale multi-level-stenciling approach that gives the opportunity for rapid prototyping of functional heterostructures composed of stacks of different materials (e.g. metal-oxide-metal and multiferroic structures grown by sequential depositions through nanostencils). This new patterning technique is extremely attractive for research (e.g. investigation of size effects on the functional properties of small structures of various materials) but also shows a great potential for the parallel fabrication and patterning of a large variety of materials.
NS-ThP-22 Evaluation of Immobilization Techniques on the Performance of Nanocrystalline TiO2-Polymer Composite Films
E. Cruz (Sandia National Laboratories - Lawrence Berkeley National Laboratory, ALS division); B.A. Simmons (Sandia National Laboratories)
Titanium dioxide (TiO2) has been used extensively over the years as a very effective photocatalyst capable of purifying water from organic contaminants. In a slurry of free particles and liquids, however, it is complex and costly to remove the titanium dioxide from the purified water effectively. This study investigates the immobilization of titanium dioxide on a variety of robust mesh structures with numerous techniques that can be used repeatedly for water purification operations. Various deposition methods and substrate combinations are presented and evaluated in terms of degradation efficiency of a mock pollutant. Scanning electron microscope (SEM) micrographs reveal the morphological characteristics of the TiO2-matrix support and the nature of the solid-liquid interface. Mechanical stability and robustness of the TiO2 support structures will also be discussed as a function of lifetime and durability. In our experiments, a flow-thru cell is used to contain the TiO2-matrix support. A pump-manifold system is then utilized to introduce the mock contaminated water that contains the dye methyl orange. This flow thru cell is irradiated at 300-nm, 350-nm and 419-nm wavelengths at different time intervals with different incident fluxes of light. Methyl orange degradation is evaluated using UV-Vis spectroscopy as a measure of the overall photocatalytic activity of the immobilized TiO2. We have also investigated the impact of doped TiO2 in terms of activity and wavelength dependence. The immobilized TiO2 films were observed to be very efficient decontamination substrates.
NS-ThP-23 Synthesis and Optical Properties of Indium Oxide Nanocrystal Chains Grown by Thermal Oxidation Method
T.S. Ko, C.P. Chu, H.G. Chen, T.C. Lu, H.C. Kuo, S.C. Wang (National Chiao Tung University, Taiwan)
We reported the synthesis of indium oxide nanocrystal chains on silicon substrates by using thermal evaporation of pure indium at 0.1 torr of nitrogen atmosphere. Indium nanocrystal chains were grown through vapor-liquid-solid process by using gold nanoparticles as catalyst on native silicon dioxide. The composition of the nanocrystal was identified by energy dispersion spectrum and the result showed the existence of indium oxide compound. Scanning electron microscopy investigations showed indium oxide nanocrystal chains were formed. The nanocrystal chains comprised many well-shaped octahedron nanoparticles with their size ranging from 20 nm to 100 nm connected each other to form a network. Both high resolution transmission electron microscopy and diffraction pattern revealed that the indium oxide nanocrystal chains were single crystalline grown along [111] direction. Photoluminescence study of indium oxide nanocrystal chains exhibited main photoemission at 544 nm. Such self-assembled nanocrystal chains should have great potential for applications of novel optoelectronic devices and nano-photonics.
NS-ThP-24 Evaluation of Resolution for Free-Space-Wiring Fabricated by FIB-CVD
C. Minari, R. Kometani, K. Nakamatsu, K. Kanda, Y. Haruyama (University of Hyogo, Japan); T. Kaito (SII NonoTechnology, Japan); S. Matsui (University of Hyogo, Japan)
Focused-ion-beam chemical-vapor-deposition (FIB-CVD) is the effective technology to fabricate 3-D nanostructure. FIB-CVD is promising in many fields such as mechanical device, optical device and bio device. Thus it is very important to refine FIB-CVD as 3-D nanostructure fabrication technology. This time, we examined the growth mechanism by FIB-CVD. We fabricated various line structures by changing a scan speed and an ion beam current with a single scanning of Ga+ ion beam. Acceleration voltage was 30kV, and phenanthrene (C10H14) was used as a source gas to deposit Diamond Like Carbon (DLC). First, we examined the resolution of DLC line patterns on Si substrate. It was observed that DLC linewidth became smaller, as Ga+ ion beam scan speed quickened. This is attributed to the Gaussian profile of Ga+ ion beam. The tip part of beam profile is effective to deposit at faster scan speed, on the other hand, at slower scan speed, both the tip and tail parts of beam profile are contributed. A 30nm linewidth was achieved on Si substrate at the scan speed of 0.8µm/s with a 0.1pA beam current (calculated beam diameter: 5nm). Next, we evaluated resolution of DLC free-space-wiring grown from the top of DLC wall made by FIB-CVD. A DLC line grows in space by controlling the scan speed, and performed as a free-space-wiring. When the beam scans slowly at a scan speed of 0.05µm/s using a beam current of 0.4pA (calculated beam diameter: 10nm), a free-space-wiring grows up from the top of DLC wall. In contrast, when the beam scans quickly at the scan speed of 0.07µm/s, an free-space-wiring grows downward from the top of DLC wall. In this experiment, the minimum linewidth of downward free-space-wiring was about 50nm and that of upward free-space-wiring was about 100nm.
NS-ThP-25 Annealing Effect of Electronic Properties for Tungsten Wires Fabricated by FIB and EB-CVD
A. Ozasa, K. Nakamatsu, R. Kometani, K. Kanda, Y. Haruyama (University of Hyogo, Japan); T. Kaito (SII NanoTechnology Inc.); S. Matsui (University of Hyogo, Japan)
We present the temperature dependence of electrical properties for tungsten wires fabricated on four terminal Au electrodes by 30 kV FIB-CVD and 5 kV EB-CVD using W(CO)6 source gas. The gap of center electrodes is 1µm. The tungsten wires has 4.8-µm-long and 300-nm linewidth. The tungsten wires fabricated by FIB-CVD contains Ga that is implanted by Ga ion beam irradiation. The tungsten wires deposited by FIB-CVD contain about 86% C, 0.6% O, 13% Ga and 0.3% W, which were measured by SEM-EDX. On the other hand, the deposited tungsten wires by EB-CVD contain about 96% C, 3% O and 1% W. We measured the temperature dependences of the resistances of the wires deposited by EB and FIB-CVD with a four-terminal method at 300-673 K. The measurement results indicate that the resistance decreased by annealing temperature raised for all wires deposited by FIB and EB-CVD. The resistance of tungsten wires deposited by FIB-CVD flatly decreased from 2.7 Ω cm to 1.0 Ω cm with increasing annealing temperature from 300 K to 673 K. On the other hand, the result of tungsten wires deposited by EB-CVD dramatically decreased from 144 kΩ cm to 3.2 kΩ cm with annealing temperature rise from 300 K to 673 K. Moreover, we examined in detail I-V measurement for both FIB and EB-CVD wires. As a result, non-linear I-V characteristics were observed for FIB and EB-CVD annealed wires. Especially, EB-CVD wires annealed over 673 K indicates significant semiconductor I-V characteristics.
NS-ThP-26 Topographical Studies of Nanoscale Secondary Structure of Electrochemically Anodized Aluminum Surface
T. Sugiyama, H. Kato, S. Takemura, Y. Watanabe, H. Matsunami, Y. Takarai, M. Izumiyama, A. Ishii, T. Hiramatsu, N. Nanba (Kanto Gakuin University, Japan); O. Nishikawa, M. Taniguchi (Kanazawa Institute of Technology, Japan)
An electrochemical anodization technique was applied for fabrication of surface nanoscale structure on Al. Sub-micron to nanometer scale surface structure is a prospective candidate for highly functional nanometer scale devices, especially in the field of inorganic-organic hybrid devices and molecular devices as a nano-pattern template. In the present work, the surface was cleaned with several stages of wet cleansing process using Semico Clean and pure water under ultrasonic waves before applying anodization techniques to Al plate. The process of anodization was conducted by applying a positive voltage to Al plate as an anode in a H2SO4 solution with various concentration. The anodized Al and Cu surfaces processed under different conditions were investigated by dynamical force microscopy (DFM) and scanning tunneling microscopy (STM). A crater-array pattern was observed in a flat area. The diameter size of the crater was 100 nm to 200 nm, linked each other making a scaled pattern with the clear-cut contour, covering wide range area with 5 micrometer square. In the scaled pattern, the linked craters covered up-and-down hills with several nanometer height difference. Focusing on a crater, small crater with several tens nanometer diameter was created in the large crater. A detailed image of Al surface of another sample prepared under a different condition showed the nanoscale secondary structure in the crater-array pattern so that 20 nm to 30 nm-sized holes were arrayed in a 100 nm-sized crater, which is quite a unique pattern as a nanoscale template. Furthermore, copper phthalocyanine (PcCu) molecular layer deposition was conducted on scaled pattern of Al surface by wet and dry techniques. Characteristic PcCu configuration was confirmed by DFM, XPS, and FTIR measurements. This work was supported by High-Tech Research Center Project aided by MEXT. The authors would like to thank Dr. M. Shigeno, SII Nano-Technology Co. Ltd for a technical assistance.
NS-ThP-27 Etching of Ion-implanted Silicon Nitride and Oxide in a Weakly Anisotropic Dry Etch Process
P.K. Subramanian (AMD Inc.); S. Panda, M.P. Belyansky (IBM Microelectronics)
Etching silicon nitride films deposited over other (e.g., silicon oxide) films is frequently used in different process schemes in the semiconductor industry. Thus, etch selectivity and throughput of the etch process are important factors. Two commonly available unit processes, ion implantation and rapid thermal anneal can be used to tailor the etch rate of various nitride films. In this study, we report nitride film etch rates after anneal-only, implantation-only and implantation combined with anneal. We use different types of nitride films - deposited by LPCVD, RTCVD and PECVD techniques. It is shown that along with composition and structure, the intrinsic stress of these films affects the etch rates. Correlations of the etch rates with these basic film properties is discussed. Ion implanted silicon nitride (LPCVD, RTCVD and PECVD) films exhibit higher etch rates in weakly anisotropic dry etch compared to as-deposited films. Similar effect has been observed during wet etch of implanted nitride in some earlier studies. The observed increase in etch rate depends on both the concentration and the composition of implanted species. The implanted and unimplanted films were annealed at 950C and the etch rates determined and correlated with the stress in the films. The behavior of implanted SACVD LTO (low temperature oxide) and high temperature oxide (HTO) under the same implant and etch conditions are also studied. The etch rate dependence of LTO on implant conditions is not significant under the process conditions explored. The etch rate of implanted films after anneal is equivalent to that of as deposited films. Thus, the etch selectivity between nitride and oxide films can be tailored to meet process design requirements.
NS-ThP-28 Fabrication and Characterization of Reproducible SERS Substrates for Trace Chemical Detection
Sevnur Komurlu, S. Yilmaz, G. Ertas, S. Suzer (Bilkent University, Turkey)
Gold nanoparticles are of fundamental interest and technological importance because of their applications in surface-enhanced Raman scattering (SERS). Gold nanoparticles having size range from ca. 5 to 100 nm have been prepared in three steps. Firstly, small spherical particles (seeds) of diameters ca. 2 nm were prepared by varying the ratio of gold ion concentration to citrate/NaBH4 concentration. Secondly, larger particles were formed by a seed-mediated growth where small particles produced by the above technique were exploited as seeds and fresh Au(III) ions were reduced onto the surface on the seed particles by citrate ions. This seeding method repeated one more time and these nanoparticles were deposited onto amine-terminated substrates, which were obtained by modifying glass surfaces with 3-aminopropyltrimethoxysilane (APS). Such substrates possess amine surface functionality and uniform morphology, which can offer abundant and easily accessible binding sites for nanoparticles. SERS substrates consisting of gold nanoparticles deposited on glass slides are used to examine SERS effect of amino acids; lysine, histidine and aspartic acid. We use XPS to verify the deposition of the Au nanoparticles on APS substrate and amino acids on gold nanoparticles by recording the Au4f and N1s signals before and after immobilization steps. In addition, by controlling the charging/discharging via external voltage stress we can induce additional spectral separation of the XPS peaks of nitrogen in two different forms (amino acid and APS) present on the same surface.
NS-ThP-29 Electronic Detection of AFP by Si-based Field Effect Transistor
N.H. Lee, H.J. Park, W.-J. Kim (Korea Research Institute of Standards and Science); H.Y. Yu, S. Lee (Electronics and Telecommunications Research Institute, Korea); H.-T. Kim (Kumoh National Institute of Technology, Korea); W.S. Yun (Korea Research Institute of Standards and Science)
Lithographically-defined silicon nanowires were used in the detection of a cancer marker protein: alpha fetoprotein (AFP). Doped Si nanowires of 20 nm in thickness, 50 nm in width, and 10 μm in length were fabricated on an insulating silicon oxide surface by e-beam lithography. On the surface of the nanowires, anti-AFP was immobilized by a series of chemical reactions. A μ-fluidic channel was then assembled onto the chip of the nanowires. When an aqueous solution of AFP (about 10 ng/ml in concentration) was introduced, the electric conductance along the nanowire changed its value by a factor of 2, which was attributed to the change in the local electric field felt by the semiconducting nanowire upon specific antibody-antigen binding. Since the Si nanowires in our work were defined by a lithographic technique, the fabrication of arbitrary-shaped and/or highly-integrated biosensors should become quite straightforward.
NS-ThP-30 Near IR Electroluminecsent Studies of ZnS Photonic Crystal
E.S. Law, P.H. Holloway (University of Florida); N. Shepherd (University of North Texas)
The effects of a photonic crystal on outcoupling of light from an alternating current thin film electroluminescent (ACTFEL) device is being studied. The ACTFEL device consists of a thin film of ZnS doped with erbium that was sputter deposited onto a glass substrate with an indium-tin-oxide (ITO) transparent conducting electrode and with an aluminum-titanium-oxide (ATO) thin insulating layer. Al top electrodes were vapor deposited on the ZnS:Er phosphor. For ZnS:Er, strong emission is observed at 1550nm. The photonic crystal was created in the ACTFEL device by etching away a hexagonal lattice of 264 nm diameter holes with 660nm lattice spacing. This pattern is expected to enhance the emission intensity perpendicular to the plane of the device. The photonic crystal pattern was created with electron-beam lithography using a PMMA resist over a complete ACTFEL device. A Cl2/Ar reactive ion etch was used to etch through the Al top electrode layer with the PMMA as a mask. A CHF3/H2 /Ar reactive ion etch was used to etch through the ZnS:Er phosphor, with the Al layer now acting as the mask. The emissions of ACTFEL devices with and without the photonic crystal will be compared using an optical spectrometer.
Time Period ThP Sessions | Topic NS Sessions | Time Periods | Topics | AVS2006 Schedule