AVS2006 Session PS2+TF-WeM: Plasma Deposition

Wednesday, November 15, 2006 8:00 AM in Room 2011

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2006 Schedule

Start Invited? Item
8:00 AM PS2+TF-WeM-1 Beam Activation for Atomic Layer Deposition of Ta-Based Barriers on Low-k Dielectric Surfaces
P.S. Ho (The University of Texas at Austin); J. Liu (The University of Texas at Austin (presently: Tokyo Electron America Inc.)); J. Bao, H. Shi (The University of Texas at Austin)
Atomic nitrogen and hydrogen beams were investigated as surface pre-treatment and process enhancement techniques for atomic layer deposition (ALD) of tantalum nitride barrier layer on low k dielectric surfaces. Electron cyclotron resonance (ECR) plasma induced atomic nitrogen and hydrogen species were applied to a methyl silsesquioxane (MSQ) and organic polymer low k surfaces prior to and during ALD growth. In-situ XPS studies of the evolution of the low k surface chemistry revealed an initial transient growth region controlled mainly by the substrate surface chemistry. For MSQ surfaces, pre-treatment with atomic beams, particularly with nitrogen atoms, was found to enhance significantly the chemisorption of the TaCl5 precursor on the low k surface. The enhancement was attributed to the dissociation of the weakly bonded methyl groups from the MSQ surface followed by nitridation with the atomic nitrogen species. For the organic polymer surface, the initial interaction was manifested by the formation of a charge transfer complex as a result of the interaction between Ta and the aromatic structure. In the subsequent linear growth region, atomic hydrogen species was able to reduce the chlorine content under appropriate temperature and with sufficient purge. The application of beam activation for pore sealing on porous low k surface will also be discussed.
8:40 AM PS2+TF-WeM-3 PECVD Synthesis and Optimization of High κ Dielectric Structures
W. Yang, M. Seman, C.A. Wolden (Colorado School of Mines)
Alternative dielectrics with high dielectric constants (κ) are required to reduce turn-on voltage and leakage current in conventional as well as thin film transistor technology. The latter application requires processing routes with a low thermal budget. To that end plasma-enhanced chemical vapor deposition processes were developed to fabricate high κ dielectrics including TiO2 and Ta2O5. The deposition rate was found to be weakly activated, enabling low temperature deposition. The deposition rate was a strong function of the atomic oxygen density, reflecting a competition between plasma-phase oxidation of the precursor and its participation in film growth. Metal-insulator-silicon (MIS) structures were fabricated and characterized using both C-V and I-V measurements. Annealing was found to both enhance κ and significantly attenuate the leakage current. Under optimized conditions the dielectric performance of MIS devices was superior to that of SiO2 control samples with the same equivalent oxide thickness. The leakage current density was correlated to the presence of oxide charge defects in the dielectric, as measured using the flat band voltage shift. By appropriate control of plasma power and oxygen concentration, the flat band voltage shift was minimized and the electrical performance of as-deposited films approached those of annealed samples.
9:00 AM PS2+TF-WeM-4 Tantalum Oxy-nitride Film Deposition by Electron Cyclotron Resonance Plasma Sputtering for MIM Capacitor
T. Ono, H. Toyota (Hirosaki University, Japan); M. Shimada, Y. Jin (NTT MI Labs, Japan)
A high-k dielectric film is one of the key to realize high performance large scale integrated circuits (LSIs). The deposition characteristics of tantalum oxy-nitride (TaON) films have been investigated by using an electron cyclotron resonance (ECR) plasma sputtering without external substrate heating. A pure tantalum metal target was used as raw material supply combined with gases of oxygen and nitrogen. The electrical characteristics of the deposited films were examined by using MIM capacitor of ruthenium blanket electrodes and aluminum top pad electrodes. The electrical characteristics of the deposited films were changed from metallic conductive states to high-k dielectric states by the mixture ratio of oxygen and nitrogen. For the dielectric films, in high concentrations of oxygen gas, the deposited tantalum oxide (Ta2O5) films have a refractive index of 2.15 at 632.8 nm wavelength, high dielectric constant of 25, and high breakdown-strength of 5MV/cm. By controlling the oxygen gas concentrations in moderate low region, films of TaON have been stably obtained with the refractive indices of around 2.9 at 632.8 nm wavelength, higher dielectric constants of over 35 and breakdown-strengths of around 1MV/cm. In spite of low substrate temperature without external heating, high quality TaON films have successively obtained. The TaON films deposited by the ECR plasma sputtering can be applicable to the capacitor dielectrics and gate oxides for Si-LSIs, compound MIS-FETs, and the drive circuit devices for LCDs.
9:20 AM PS2+TF-WeM-5 In Situ Studies of Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Al2O3
S.B.S. Heil, P. Kudlacek, E. Langereis, R. Engeln, M.C.M. Van De Sanden, W.M.M. Kessels (Eindhoven University of Technology, The Netherlands)
Extending the atomic layer deposition (ALD) technique with plasma processes (i.e., plasma-assisted ALD or PA-ALD) opens up new routes that are difficult to attain by pure thermal ALD. In particular the ability to deposit high-quality oxide films at low substrate temperatures using an O2 plasma has recently expanded the interest in ALD from solely semiconductor manufacturing to upcoming fields such as photovoltaics and flexible electronics. However, for PA-ALD the reaction mechanisms leading to film formation have not been extensively studied and especially for the reactions occurring during the plasma step several questions still remain unanswered. In this contribution, we present an in situ study of the PA-ALD process of Al2O3 from Al(CH3)3 (trimethylaluminum, TMA) and O2 plasma. Employing a combination of quartz crystal microbalance (QCM), quadrupole mass spectrometry (QMS), and optical emission spectroscopy (OES) an insight into the plasma species, reaction products, and surface chemistry is gained. For example, QMS showed that during the plasma step H2O and CO2 are formed from the surface CH3 groups in a combustion like reaction. This is supported by time-resolved OES in which the consumption of oxygen species and the emission by excited CO molecules were detected until the process reached saturation (<0.5 s). From QCM and QMS measurements it was determined that during the precursor step the TMA reacts mainly bifunctionally with the surface OH groups splitting of ~1.8 CH3 ligands as CH4. QCM measurements were correlated with in situ spectroscopic ellipsometry giving more detailed information about film growth during PA-ALD of Al2O3. The combustion like reaction occurring in the deposition of Al2O3 is expected to be generic for plasma-assisted ALD processes of oxides from metalorganic precursors.
9:40 AM PS2+TF-WeM-6 XPS Study of Plasma Pretreatment of PEN and Related Polymer Substrates to Enhance Atomic Layer Deposition of Aluminum Oxide
E.S. Brandt, J.M. Grace (Eastman Kodak Company)
The role of water plasma pretreatment of polymer substrates to promote atomic layer deposition (ALD) of aluminum oxide from dimethylaluminum isopropoxide (DMAI) is investigated using an in situ ALD deposition chamber that is interfaced directly to the UHV system of an X-ray photoelectron spectrometer.  Using X-ray photoelectron spectroscopy (XPS), it is shown that treatment by water plasma incorporates surface hydroxyl functional groups, which enhance the deposition of aluminum oxide by approximately an order of magnitude on poly(ethylene naphthalate) (PEN), and activates an otherwise inert polystyrene (PS) surface to alumina deposition when DMAI and water are used as ALD reactants.  By contrast, under our reaction conditions, the intrinsic reactivity of the highly hydroxylated surface of polyvinyl alcohol (PVA) toward repeated DMAI/water ALD cycles is not significantly enhanced by water plasma pretreatment.  The data suggest that in the absence of inherently reactive surface functional groups (e.g., hydroxyl groups) to promote chemisorption of the metal-bearing precursor, some polymers, including PS and PEN, require surface functionalization (e.g., water plasma treatment) to initiate ALD growth of metal oxides.
10:40 AM PS2+TF-WeM-9 The Use of Pulse-Shaped Substrate Bias for Energy-Selective Ion Bombardment During Amorphous Si Deposition
I.T. Martin, M.A. Blauw, R. Engeln, W.M.M. Kessels, M.C.M. Van De Sanden (Eindhoven University of Technology, The Netherlands)
The role of neutrals during expanding thermal plasma (ETP) deposition of a-Si:H has been thoroughly studied in the literature. Less attention has been paid to ions, both because ion flux is low compared to neutral flux, and the remote nature of the plasma source results in low ion energies, <2eV. Ion bombardment effects depend on ion energy as different thresholds exist for enhancing vs. damaging processes. RF-biasing has previously been used to increase ion energy; appropriate substrate voltages resulted in an increase in the photoconductivity of a-Si:H. A disadvantage of rf-biasing is that the resulting ion energy distributions (IEDs) are broad and bimodal. We have applied the pulse-shaped substrate bias technique developed by Wendt and coworkers1 to ETP a-Si:H deposition, which results in narrow IEDs. This improves ion energy control, allowing further optimization of materials properties. Preliminary data demonstrate that the effect of this bias varies for different plasma conditions; materials deposited in plasmas with low ion currents (<3mA) and high deposition rates (~5nm/s) are unaffected by the bias. The setup also allows us to determine ion flux to the substrate. Data show that increasing H2 flow results in decreased ion flux, consistent with earlier Langmuir probe measurements. Interestingly, ion flux increases with increasing substrate potential, suggesting the formation of additional plasma in front of the substrate. Materials were deposited with varying Ar:H2:SiH4 flows, and a range of substrate biases (0 to -140V). Films were characterized using FTIR and photoconductivity measurements; differences in deposition rate, refractive index, hydrogen content and photoconductivity as a function of substrate bias will be discussed. Results are compared to a-Si:H deposited using rf-biasing, and to other materials deposited using a pulse-shaped bias.


1S.B. Wang and A.E. Wendt, J. Appl. Phys. 88, 643 (2000).

11:00 AM PS2+TF-WeM-10 Effects of Clusters and Higher-Order Silane Related Radicals on Stability of a-Si:H Films Deposited by Plasma CVD
K. Koga, H. Miyahara, G. Yuan, A. Genot, S. Iwashita, W.M. Nakamura, M. Shiratani (Kyushu University, Japan)
Light-induced degradation of hydrogenated amorphous silicon (a-Si:H) is one of the most important issues for a-Si:H solar cells.1 Recently, we have succeeded in depositing highly stable a-Si:H films by using a multi-hollow discharge plasma CVD method.2 We can obtain information about species responsible for degrading stability, because stable a-Si:H films are deposited in the upstream region from the discharges while metastable ones are in the downstream region in the multi-hollow discharge plasma CVD reactor. To identify such species, we detected clusters and higher order silane related radicals both in the upstream and downstream region. The amount of amorphous clusters in the upstream region is by more than two orders of magnitude lower than that in the downstream, whereas densities of Si2H6 and Si3H8 in the upstream related radicals is 0.3 - 0.7 times as low as those in the downstream even for a high gas velocity of 52 cm/s. Therefore, the multi-hollow discharge plasma CVD method together with a high gas velocity is effective in suppressing volume fraction of clusters incorporated into the films deposited in the upstream region, while such combination has little effects on those of Si2H6 and Si3H8 related radicals. These results suggest that amorphous clusters formed in the discharges are one of the species responsible for degrading stability of films, whereas Si2H6 and Si3H8 related radicals are not.


1R. E. I. Schropp and M. Zeman, "Amorphous and Microcrystalline Silicon Solar Cells", Kluwer Academic Publishers, Boston, 1998, p. 99.
2K. Koga, et al., Jpn . J. Appl. Phys., 48 (2005) L1430.

11:20 AM PS2+TF-WeM-11 Methods of Producing Plasma Enhanced CVD Silicon Nitride Thin Films with High Compressive and Tensile Stress
M.P. Belyansky, N. Klymko, A. Madan, M. Chace, S. Molis, P.A. Ronsheim, J. Kempisty, A. Mallikarjunan, Y. Li (IBM Microelectronics)
High stress plasma enhanced chemical vapor deposition (PECVD) films are becoming an integral part of the state-of the-art metal oxide semiconductor field effect transistor (MOSFET) technology. Generation of a uniaxial strain in a silicon channel is shown to substantially increase device speed, which is achieved by an application of high stress tensile or compressive silicon nitride (SiN) films leading to either electron or hole mobility enhancement respectively. Various methods of generating high stress in thin PECVD SiN films are discussed. Besides the mainstream variation of plasma power and other process parameters, novel techniques of stress build-up in thin films like creation of specific types of interfaces and multilayer structures by PECVD or exposure of SiN films to ultraviolet (UV) radiation are reported. Thin PECVD SiN films (about 50nm) have been analyzed by a variety of analytical techniques including Fourier Transform Infrared Spectroscopy (FTIR), X-ray reflectivity (XRR), Secondary Ion Mass Spectrometry (SIMS) and Rutherford backscattering (RBS) to collect data on bonding, density and chemical composition. Mechanisms of stress formation in both compressive and tensile SiN thin films are discussed. Level of bonded hydrogen as well as film density has been found to correlate with film stress. Interface formation and creation of a multilayer structure helps to build up more stress compared to a standard single layer film deposition. Both the density and number of interfaces in a film, characterized by XRR, affect the stress. Exposure of multilayer SiN films to elevated temperature results in a loss of a well-defined interface structure and leads to the predictable increase in tension and degradation in compressive stress. Interface composition and barrier properties of multilayer films are discussed. Effect of UV radiation exposure on PECVD SiN and the resulting increase in film tensile stress is also delineated.
11:40 AM PS2+TF-WeM-12 Analyses of CH4/H2 RF Plasma and Iron Catalysts for Control of Carbon Nanotube Growth
A. Okita, Y. Suda, A. Ozeki (Hokkaido University, Japan); A. Oda (Nagoya Institute of Technology, Japan); J. Nakamura (Tsukuba University, Japan); K. Bhattacharyya, H. Sugawara, Y. Sakai (Hokkaido University, Japan)
We have studied CH4/H2 gas mixture RF plasma by one-dimensional fluid modeling and iron catalysts by X-ray photoelectron spectroscopy (XPS) for controlling carbon nanotube (CNT) growth in plasma-enhanced CVD (PECVD).1,2 To control CNT growth in terms of length, diameter, number density and orientation, understanding the behavior of hydrocarbon (CxHy) radicals and ions onto metal-catalyzed substrate becomes important. So far, we have shown that the carbon amount evaluated from the fluxes of CxHy radicals and ions by the modeling is reasonably consistent with that in CNTs grown by PECVD.1 The PECVD setup sustains the CH4/H2 or H2 plasma in a pressure range of 1-10 Torr. The substrate temperature is kept at 550°C for reduction and 650°C for growth. Length, diameter and number density of the CNTs grown are analyzed. The carbon atom density in a graphene sheet (3.81X1015 atoms/cm2) is used to evaluate the amount of carbon in CNTs. One-dimensional fluid modeling analyzes the densities and fluxes of CxHy radicals and ions between powered and grounded electrodes. Assuming the sticking probability of CxHy radicals on substrate: CH, 0.025; CH2, 0.025; CH3, 0.01; C2H5, 0.01; H, 0.01,3, we predict the amount of carbon which are supplied from the plasma and incorporated into CNTs. Very recently, we have shown that the content of iron oxide in the catalyst analyzed by XPS correlates with CNT growth.2 In this presentation, we discuss the growth speed of CNTs by both the PECVD and modeling and the CNT growth duration by XPS analysis.


1A. Okita, et al., J. Appl. Phys., 99 (2006) 014302
2A. Okita, et al, Jpn. J. Appl. Phys. (accepted)
3I. B. Denysenko, et al., J. Appl. Phys. 95, 2713 (2004).

12:00 PM PS2+TF-WeM-13 Increase of O(1D) Metastables by Rare-Gas Diluted O2 Plasma and Application to the Oxide Growth
T. Kitajima, T. Nakano (National Defense Academy of Japan); T. Makabe (Keio University, Japan)
Rare gas diluted O2 plasmas are gaining interests for application to high quality SiO2 film formation. Especially, metastable O(1D) atoms produced in rare gas diluted O2 plasma is believed to promote higher production rate of the oxide films. We have found the increase of O(1D) atoms in rare gas diluted O2 RF plasma measured by VUV absorption spectroscopy.1 The increase of the O(1D) density is due to the increase of rare gas metastables that selectively produce O(1D) atoms via dissociative excitation of O2. Among rare gas species, Krypton dilution enables highest O(1D) density. Then we applied the increased O(1D) flux of the Kr diluted O2 plasma to the formation of SiO2 films. Silicon substrate is flashed in the vacuum by direct current heating to form bare Si(001) surface. The surface is exposed to the Inductive coupled plasma operated at 70 MHz in O2(3%)/Kr at 0.1 Torr for oxidation. After the oxidation, the sample is introduced to the UHV chamber which have a contact mode AFM for film evaluation. The topography and breakdown voltage of the SiO2 films are measured by the biased AFM tip. The topographic images and breakdown current map shows the uniform oxide formation of the rare-gas diluted O2 plasma. The breakdown voltage of the film is nearly same to the one of pure O2 plasma case. Regarding the increase and the decrease of the O(1D) and the ground state O(3P) flux to the surface during oxidation, O(1D) atom has an order higher oxidation rate of Si(001) than O(3P). The results show the enhancement of oxidation rate of silicon with using increased O(1D) selectively produced in rare-gas diluted O2 plasma.


1 T.Kitajima, T.Nakano, and T.Makabe, Appl. Phys. Lett. 88, 091501 (2006).

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2006 Schedule