AVS2006 Session AS-FrM: Thin Film Characterization

Friday, November 17, 2006 8:00 AM in Room 2005

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | AVS2006 Schedule

Start Invited? Item
8:00 AM AS-FrM-1 Probing Interactions of Ge with Chemical and Thermal SiO2 to Understand Selective Growth of Ge on Si during Molecular Beam Epitaxy
Q. Li (University of New Mexico); J.L. Krauss (University of Wisconsin Madison); S. Hersee, S.M. Han (University of New Mexico)
We have previously demonstrated that Ge selectively grows on Si over a SiO2 mask during molecular beam epitaxy. We have also demonstrated that epitaxial Ge rings selectively form at the contact region between chemical-oxide-covered Si and self-assembled SiO2 sphere. In order to determine the surface phenomena responsible for the selectivity, we probed the interactions of Ge with 1.2-nm-thick chemical SiO2 films and 6 to 200-nm-thick dry thermal SiO2 films grown on Si(100). The change in Si2p x-ray photoelectron intensity and position, intermittently measured during the Ge beam exposure, reveals that the chemical oxide degrades at substrate temperatures near 600°C. In contrast, the change in thickness and surface roughness of the thermal oxide, measured by ellipsometry and atomic force microscopy, is below the detection limit after a prolonged Ge exposure at temperatures below 700°C. For thermal SiO2, where oxide degradation is not observed, we have determined that the selectivity stems from the low desorption activation energy (Edes) of Ge adspecies from the thermal SiO2 surface. The experimentally measured Edes is 42±3 kJ/mol. The low Edes entails a low activation barrier (~13 kJ/mol) for surface diffusion. We expect the large diffusion length on the order of 1 µm to cause Ge adspecies to migrate over SiO2 and preferentially aggregate on exposed Si surface. In order to determine the identity of stable Ge adspecies on SiO2, the nucleation of Ge on thermal SiO2 surface is also studied by plan-view, high-resolution scanning electron microscopy at substrate temperatures ranging from 300 to 500°C. We have found that the saturation Ge island density is a strong function of substrate temperature, but a weak function of absolute Ge flux. This result suggests that Ge monomers can exist as a stable nucleus on thermal SiO2.
8:20 AM AS-FrM-2 Chemical Vapor Deposition of WNxCy from Cl3[Me2NC(NiPr)2]W(NiPr): Film Characterization and Evaluation for Diffusion Barrier Application
H.A. Ajmera, A.T. Heitsch, L.L. Reitfort, C.B. Wilder, L. McElwee-White, T.J. Anderson (University of Florida)
The tungsten isopropyl guanidinato complex Cl3[Me2NC(NiPr)2]W(NiPr) (designated as 1) was used to deposit tungsten nitride carbide (WNxCy) thin films in a CVD reactor with deposition temperature ranging from 400 to 750 °C. The effect of deposition temperature on film properties such as composition, crystallinity, lattice parameter, grain size, growth rate and resistivity was studied. Films grown with 1 were composed of W, N, C and O as determined by Auger electron spectroscopy (AES). Film growth rate varied between 3 Å/min and 35 Å/min. The apparent activation energy in kinetically controlled growth regime was 0.49 eV. The films deposited below 500 °C were amorphous while films deposited at and above 500 °C were polycrystalline, with the peak position indicating presence of β-WNxCy phase. Bonding information obtained from X-ray photoelectron spectroscopy (XPS) confirmed the presence of WNxCy phase in the film. Films deposited with 1 had a relatively small grain size (< 50 Å). To evaluate the efficacy of the deposited films as diffusion barriers, WNxCy thin film were coated with PVD Cu and vacuum annealed at 500 °C for 30 min. AES depth profiling and X-ray diffraction techniques were used to check for Cu diffusion through the barrier. WNxCy films deposited at 450 and 500 °C prevented Cu diffusion after vacuum annealing at 500 °C for 30 min. The film properties of thin films deposited with 1 and isopropyl imido complex Cl4(RCN)W(NiPr) (2a, R = CH3, 2b, R = Ph)1 were also compared to provide insight on the effect of imido and guanidinato ligands on film properties.


1 Bchir O.J., Johnston S.W., Cuadra A.C., Anderson T.J., Ortiz C.G., Brooks B.C., Powell D.H., McElwee-White L., J. Crystal Growth 249 (2003) 262-274.

8:40 AM AS-FrM-3 RBS, ERDA and XPS Study of Ca0.28Ba0.72Nb2O6 Epitaxial Thin Films Prepared by PLD for Electro-Optical Applications
P.F. Ndione, M. Kaidi, C. Durand, M. Chaker, R. Morandotti (Université du Québec, Canada)
The development of integrated optic devices requires electro-optical materials with high transparency and good stoichiometry. Ferroelectric materials like strontium barium niobate (SrxBa1-xNb2O6, also called SBN-x) have been widely investigated because of their excellent electro-optical properties. Calcium barium niobate (CaxBa1-xNb2O6, also called CBN-x) possesses physical properties very close to those of SBN, while exhibiting a much higher Curie temperature (Tc higher than 250 °C for CBN single crystals). Therefore, CBN may be an excellent alternative to SBN for the realization of a new range of optical devices operating at high temperature. The fabrication of thin film is very attractive because it provides compatibility with integrated optical systems and other miniaturized devices and reduces their cost. Pulsed Laser Deposition (PLD) technique is suitable to grow complex oxides thin films, since it enable the preparation of stoichiometric and high quality epitaxial thin films. Nevertheless, oxygen deficiency or elements desorption from the surface of the films during the deposition process, lead to mixed valencies and other stoichiometric defects that modify the properties of the thin films. Therefore, it is very important to control the stoichiometry of thin films. Various surface analyses have been used for investigating the characteristics of ferroelectric thin films. In this paper, we present the composition, structure and chemical bonding states in CBN-28 thin films deposited at different oxygen pressure by PLD. A description of the elastic scattering processes which give rise to Rutherford backscattering spectroscopy (RBS) and Elastic Recoil Detection Analysis (ERDA) is given with particular attention paid to the complementarity of these two techniques for the detection of relatively heavy and light elements respectively. These analyses are completed with X-ray photoelectron spectroscopy (XPS) which give the chemical bonding states in CBN-28.
9:00 AM AS-FrM-4 Ion-Induced Effects during Reactive Sputter Deposition of ITO Films at the RF-biased Electrode
A. Amassian (Cornell University); M. Dudek, O. Zabeida, J.E. Klemberg-Sapieha, L. Martinu (Ecole Polytechnique de Montreal, Canada)
Research on tin doped indium oxide (ITO) has for many years been stimulated by the need to simultaneously optimize the electrical, optical and mechanical properties, and by new challenges related to the deposition on flexible plastic substrates. In the present work, we systematically studied ITO films deposited by reactive sputtering from an indium-tin alloy target. We found that additional radiofrequency (RF)-biasing at the substrate holder allows one to effectively control the level of stress in the film, as well as its crystalline structure, composition, electrical, and optical properties. A comparison of in situ real-time spectroscopic ellipsometry (RTSE) studies and elastic recoil detection (ERD) depth profiles revealed that even for samples with nominally identical compositional profiles, biased and unbiased films exhibit very different optical and electrical responses. Biased films exhibited a dense morphology with homogeneous n, k, and free carrier concentration depth profiles, whereas unbiased films exhibited columnar morphology, and large gradients of these properties. Using a novel Monte-Carlo TRIDYN simulation approach, which can model the ion bombardment effects of multi-component broad energy ion sources (e.g. RF plasma source and corresponding ion energy distribution function), we show that oxygen subplantation (shallow implantation) plays a crucial role in enhancing oxygen incorporation below the growth surface in the presence of biasing. This leads to both enhanced transparency in the visible spectrum, and an increase by an order of magnitude, of the free carrier concentration. We propose that atomic displacements resulting from intense ion-surface interactions in the presence of biasing are likely responsible for the activation of charge donors by a mechanism of oxygen vacancy formation.
9:20 AM AS-FrM-5 Electrospray Deposition of Macro-Molecular Thin Films in High Vacuum Directly From Solution for Surface Science Applications
M.M. Beerbom, Y. Yi, J.E. Lyon, A.J. Cascio, J.P. Magulick, R. Schlaf (University of South Florida)
Electrospray is a widely used technique in mass spectrometry of large molecules since it leaves the injected molecules intact. We have adopted this technique for the deposition of macro-molecular thin films in high vacuum for surface scientific applications. Our results demonstrate the essentially contamination free deposition of a wide variety of macro molecular materials, such as conductive polymers, bio-molecules and nano-particles. This allows the fabrication of clean thin films without the presence of ambient contaminants, enabling the use of vacuum based surface science techniques for their investigation. Previously, only ex-situ prepared macro-molecular thin films (or model systems, such as oligomers in lieu of polymers) could be investigated with these techniques. The main reason impeding evaporative deposition of macro-molecular materials under vacuum conditions is the thermal fragility and high molecular mass of these materials. In our presentation we will report about the performance of photoemission spectroscopic investigations in concert with multi-step in-situ electrospray deposition sequences for the investigation of macro-molecular interfaces. Our results demonstrate a deposition control similar to what can be achieved using in-situ evaporation.
9:40 AM AS-FrM-6 Characterization of Island Growth during Initial Stages of Atomic Layer Deposition of WNx on SiO2
B.A. Carlson, N. Ramaswamy, P. Mrozek, S. Hues (Micron Technology)
Atomic layer deposited (ALD) Tungsten nitride (WNx) has been widely investigated for its application as gate electrodes,1 capacitor electrodes2 and barrier layers.3 For these applications, it is critical to understand the nucleation and growth behavior of WNx. In this paper we have investigated the initial stages of ALD WNx: nucleation, island growth and subsequent coalescence of islands to form a continuous film by various material characterization techniques such as time of flight secondary ion mass spectroscopy (TOFSIMS), angle resolved X-Ray photo electron spectroscopy (ARXPS), atomic force microscopy (AFM), X ray reflectivity (XRR) and electron probe microanalysis (EPMA). A simple phenomenological model based on surface area of islands during nucleation, growth and coalescence has been formulated to describe island growth in ALD.


1D.G. Park etal,. 2004 Symposium on VLSI Technology
2B. Park, M. Lee, K. Moon, H. Lee, and H. Kang, IEEE International, Interconnect Technology Conference, Proceedings, San Francisco, 1-3 June, 1998, pp. 96-98.
3M. Takeyama and A. Noya, Jpn. J. Appl. Phys., Part 1 36, 2261, 1997 .

10:00 AM AS-FrM-7 Structural Characterization of HfO2 Based Silicon CMOS Stacks
M. Copel (IBM Research Division)
The use of new materials for gate dielectric and metal gates, the very core of an FET, poses serious materials challenges. Most of the problems are first encountered by electrical characterization, however it is often a matter of structural characterization to understand and solve the problems. Typical difficulties involve capacitance loss, poor mobility, and incorrect device operating thresholds. This talk will highlight how analytical capabilities can guide our thinking on these problems using techniques such as medium energy ion scattering (MEIS), x-ray photoemission spectroscopy (XPS) and other methods. Generally, capacitance loss can be traced to growth of interfacial oxide, which can be detected by numerous methods. Finding the source of excess oxygen may involve detailed process learning and strict control of oxygen contamination throughout the gate stack. Mobility degradation is a more elusive problem, since many factors can come into play. I will show one example where depth profiling in HfSiOx using MEIS provides crucial information: non-uniform Si content correlates with poor performance. Perhaps the most difficult analytical challenge is posed by threshold voltage characteristics, which are dominated by dielectric charge and work function alterations. So far, we can only indirectly measure the problem through band offset measurements without directly sensing the defects responsible for the problem. There is a tremendous opportunity for materials characterization to resolve the problems faced by the microelectronics industry. This talk will outline some of the ways we can meet this challenge.
10:40 AM AS-FrM-9 Back Side SIMS Depth Profile Analysis of a High-k Dielectric Material
F.A. Stevie, R. Garcia, Z. Zhu (North Carolina State University); P. Sivasubramani, R.M. Wallace (University of Texas at Dallas); D.P. Griffis (North Carolina State University)
Current status of the back side SIMS depth profiling method and results on a high-k dielectric material are presented. A typical concern for high-k materials is diffusion of the constituent elements into underlying silicon during CMOS activation annealing.1 High-k dielectric materials are difficult to depth profile from the front side because of non-uniform sputtering and the necessity to analyze an element at a trace level after profiling through a layer with a matrix level concentration of the same element. Mechanical polishing was used to reach the area of interest.2 A successful back side polishing experiment requires that the polished surface be flat, smooth and parallel to the front side surface with as thin a residual cover layer as possible. Analytical concerns include sample mounting, optimization of depth resolution, and control of sample charging. The material under study is HfAlON. SIMS depth profile analyses were performed using a magnetic sector CAMECA IMS-6F. Application of a ruthenium conductive layer to cap the sample not only reduced sample charging, but proved to be a good choice for adherence of the conductive layer to the sample surface and to the non-conductive mounting epoxy. Samples of the dielectric before and after 10 sec RTA anneal at 1000 °C were prepared for SIMS analysis using mechanical polishing. Neither sample showed measurable Hf or Al diffusion into the silicon substrate.


1 P. Sivasubramani, M. J. Kim, B. E. Gnade, R. M. Wallace, L. F. Edge, D. G. Schlom, H. S. Craft and J.-P. Maria, Applied Physics Letters 86, 201901 (2005).
2 C. Gu, A. Pivovarov, R. Garcia, F. Stevie, D. Griffis, J. Moran, L. Kulig, and J. F. Richards, J. Vac. Sci. Technol. B22, 350 (2004).

11:00 AM AS-FrM-10 Copper Diffusion Barrier Performance of PEALD TaSiNC
W. Zeng, E.T. Eisenbraun (University at Albany - The State University of New York)
Continued downscaling of device dimensions has placed a high priority on the development of robust copper barrier/liner materials. Diffusion barrier requirements for shrinking device dimensions are very rigid, which include prevention of copper diffusion, good thermal/chemical stability, low resistivity, amorphous structure, etc. Moreover, the associated process used to deposit these materials needs to be carried out at reduced temperatures to allow integration with low-k materials which are mostly thermally fragile polymers, and are required to yield smooth, conformal films in aggressive trench/via structures, with atomic layer scale thickness and uniformity control. In this respect, atomic layer deposition (ALD) is emerging as a highly promising copper barrier/liner deposition technique, due to its inherent excellent step coverage and precise thickness control. In this work, a novel low temperature PEALD process for the growth of TaSiNC films has been developed employing a Genus Stratagem 200-mm wafer capable PEALD tool using TBTDET and trichloromethylsilane. The films were characterized using RBS, AES, XRD, SEM, AFM, ellipsometry, and four-point resistance probe. It was found that Si incorporation influenced both the resistivity and crystal structure of the PEALD TaSiNC films. As silicon content was increased from 0 to 11 at. %, resistivity increased from 270 µΩ.cm to 3380 µΩ.cm, while the texture changes from a nanocrystalline structure with an average grain size of 14 nm to an amorphous structure. The first pass copper diffusion barrier performance study of 5 nm-thick TaSiNC films, possessing a range of Si contents, determined that all films could prevent copper from diffusing into Si substrates after annealing Cu/TaSiNC/Si stacks at 500°C in 700 torr Ar ambient for 30 minutes irrespective of Si content. These results and proposed barrier failure mechanisms are discussed.
11:20 AM AS-FrM-11 Synthesis and Characterization of Nanoscale Al-Si-O Gradient Membranes for Gas Analytical Microdevices
M. Bruns, V. Trouillet, E. Nold (Forschungszentrum Karlsruhe GmbH, Germany); R.G. White (Thermo Electron Corporation, England)
A microarray of 38 identical sensor elements on an area of 4x8mm2 is the key element of the Karlsruhe micro nose. It is based on a Pt-doped SnO2 layer, the electrical conductivity of which is highly sensitive to the composition of the ambient atmosphere and is measured between adjacent parallel platinum strip electrodes. In order to enable pattern recognition techniques these sensor elements have to be gradually differentiated with respect to their gas response. For this purpose gas-permeable membranes with thickness variation of approximately 2 to 10 nm were deposited across microarray using ion beam induced chemical vapour deposition. This paper focuses on mixed Si-Al-O membranes combining the gas permeability of silica with chromatographic properties of alumina. Shaping of the ion beam profile gradually alters the ion current density and leads to laterally different deposition rates forming the membrane gradient as a projection of the ion beam profile. Various Al/Si concentration ratios within the membrane and even concentration gradients across the array can be obtained by tuning the array temperature during deposition. We present a comprehensive characterization of differently shaped nanoscale membranes with different Al/Si concentration ratios and gradients. Auger electron spectroscopy is used for the evaluation of the geometrical integrity of the uncoated electrode pattern and for the determination of thickness profiles, respectively. Parallel angle resolved X-ray photoelectron spectroscopy provides thickness information for the membranes together with information on chemical binding states in a non-destructive manner. Ellipsometry is a powerful quantification method for the determination of the desired ultra thin membrane thickness profiles. Moreover, after calibration with surface analytical data, ellipsometry allows for rapid evaluation of Al/Si concentrations ratios within the membranes.
11:40 AM AS-FrM-12 Studies of Vanadium Pentoxide Thin Films as a Function of Number of Lithium Ion Intercalation Cycles
J.E. Castle (University of Surrey, UK); A.M. Salvi, N Ibris (Universita' della Studi della Basilicata, Italy); D. Alamarguy (Laboratoire de Genie Electrique de Paris, France)
The intercalation of vanadium pentoxide by lithium ions leads to a change in optical properties, a process that is of value in thin-film electrochromic devices. The extent of intercalation can be measured, electrochemically, from the charge capacity of the film, and is in good agreement with that determined spectroscopically by X-ray photoelectron spectroscopy (XPS). On de-intercalation there is again good agreement. However XPS might not be able to detect low level of Li retained in trapping sites within the film. Since such retention could be a source of chemical degradation we have examined the concentration of lithium ions, either within the lattice or at boundaries and interfaces within the structure, by depth profiling using secondary ion mass spectrometry (SIMS). SIMS is a valuable tool for the analytical determination of Li, for which it has excellent sensitivity. In this study films of V2O5 deposited on ITO-glass coupons by a sol/gel process were challenged by increasing numbers of charge-discharge cycles, ranging from 72 to 598 full cycles. The samples were characterised by XPS and then examined in the de-intercalated state by ToFSIMS. The lithium depth profiles for four samples are compared. These profiles are broadly similar, with a marked build up of Li at the interface with the indium tin oxide (ITO). Although lithium is retained, the integrated quantity is very small and below detection by XPS. However the profiles are of interest because of the marked accumulation of Li at the interface with ITO.
Time Period FrM Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | AVS2006 Schedule