AVS2006 Session TF+SS-TuA: Surface Functionalization for Selective Area ALD

Tuesday, November 14, 2006 2:00 PM in Room 2022

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2006 Schedule

Start Invited? Item
2:00 PM TF+SS-TuA-1 Surface Functionalization for Selective Area ALD
R. Chen, J. Hong, S.F. Bent (Stanford University)
Atomic layer deposition (ALD) is a powerful ultra-thin film deposition method for preparing a variety of materials. Typically, the process permits nano-scale control of materials in the vertical direction. To develop the method for three-dimensional control of materials, we have been investigating an area-selective ALD technique which will enable micro- and ultimately nano-scale definition of the lateral structure. Our approach is to chemically modify the substrate surface in order to impart spatial selectivity to ALD. Our focus is on both oxide materials (e.g. HfO2 and ZrO2) and metals (e.g. Pt) deposited by ALD. We have investigated several different types of self-assembled monolayers (SAMs) as resists against ALD using both solution and vapor delivery methods. Oxide-coated substrates (e.g. SiO2) have been protected using organosilane-based SAMs, and hydrogen-terminated Si and hydrogen-terminated Ge protected by reaction with alkenes or alkynes. We have followed the SAM properties as a function of molecular structure and formation time using a variety of experimental techniques and have correlated the properties of the SAMs with their efficacy as ALD resists. With the successful ALD resists, area-selective ALD has been carried out using different patterning methods to define the lateral structure. Both microcontact printing of the SAMs and selective functionalization of a SiO2/Si structure have been used to achieve area-selective ALD. We have compared the selectivity between the two methods, and will discuss the differences in the context of the SAM resist requirements. We will also show that by choosing either silylation- or hydrosilylation-based chemical functionalization, a single patterned oxide substrate can be used for either positive or negative pattern transfer into the ALD film.
2:40 PM TF+SS-TuA-3 Surface Mechanisms in Oxygen-Based Noble Metal Atomic Layer Deposition
K.J. Park, S.M. Stewart, G.N. Parsons (North Carolina State University)
Atomic layer deposition of noble metals, including Pt, Ru, Re, and Rh is often achieved using a binary exposure sequence of metal-organic precursors and oxygen, and processes show saturation near one monolayer per growth cycle for varying oxygen exposure and for varying metal-organic exposure. The surface typically oxidizes during the oxygen exposure step, and then the metal precursor ligand is oxidized during the precursor exposure, leaving a single layer of metal deposited on the surface. We have recently observed that for the case of Ru ALD from bis-(cyclopentadienyl) ruthenium and oxygen, the growth thickness per cycle saturates at a value that depends on the extent of oxygen exposure in the ALD sequence. On-line Auger spectroscopy analysis shows that the extent of metal surface oxidation increases with the extent of oxygen exposure, suggesting that the extent of Ru ligand oxidation is determined by the amount of oxygen available at the surface. This is consistent with the observed increase in Ru film growth/cycle during the Ru(Cp)2 exposure step. This dependence of film growth/cycle on oxygen exposure can explain the previously observed pressure dependence of growth thickness per cycle during Ru deposition which is not expected in an ALD process. We believe these observations lead to new insights into methodologies to significantly expand and control the process window and nucleation in Ru ALD, and this can likely be extended to other noble metal ALD processes.
3:00 PM TF+SS-TuA-4 Atomic Layer Deposition of Hafnium Silicate Gate Dielectric Layers
A. Delabie, G. Pourtois, M. Caymax, S. De Gendt, L.-A. Ragnarsson, M.M. Heyns (IMEC, Belgium); Y. Fedorenko, J. Swerts, J.M. Maes (ASM Belgium)
Downscaling Equivalent Oxide Thickness (EOT) by decreasing the physical thickness or increasing the permittivity of the gate dielectric is required to reach the CMOS (sub) 45 nm node performance specifications. Hafnium silicate is widely investigated as a high-k gate dielectric due to its thermodynamic stability with silicon. In this work, we study the growth mechanism of hafnium silicate Atomic Layer Deposition (ALD) by combining experiment and theory. Thorough understanding of the ALD mechanisms can contribute to improved film quality and downscaling. The hafnium silicate reaction cycle consists of well separated precursor reactions in the sequence (H2O/HfCl4)x/(H2O/X)y with X a HfCl4 compatible Si precursor.1 The composition of hafnium silicate is varied by adjusting x and y. Hafnium silicate films are characterized ex-situ by Rutherford Backscattering (RBS), Time-of-Flight Secondary Ion Mass Spectroscopy (TOFSIMS) and X-Ray Photoelectron Spectroscopy (XPS). Electrical properties are evaluated on TaN gated capacitors. The interaction of ALD surface sites with the precursors is investigated by Density Functional Theory based calculations on cluster models. Our insight in the ALD reaction mechanism allows optimization of the hafnium silicate deposition, resulting in a leakage current reduction of one order of magnitude.


1 will be revealed at the conference .

3:40 PM TF+SS-TuA-6 Mechanistic Details of TiN Atomic Layer Deposition (ALD) Processes
H. Tiznado, F. Zaera (University of California, Riverside)
The reaction mechanisms of atomic layer deposition (ALD) processes have been characterized by a combination of surface sensitive techniques. In this talk our study of the deposition of TiN films from TiCl4 and ammonia will be used to illustrate the power of this approach. First, each of the two half steps comprising the ALD process was studied independently. It was found that exposure of the surface to TiCl4 leads to the initial deposition of titanium in the +3 oxidation state; only at a later stage most of it appears in the +4 state expected for TiCl4. Also, the Cl:Ti final ratio at the end of the TiCl4 deposition reaches a value of ~ 3.5, indicating some chlorine removal. Subsequent treatment with ammonia removes most of the remaining Cl and deposits the required nitrogen, as expected. Nevertheless, some chlorine is still seen on the surface, most likely because of HCl readsorption. The buildup of thicker films was tested by performing multiple cycles with alternating exposures to TiCl4 and NH3. Similar films could be deposited on glass and on W, Ni and Cu foils. Interestingly, depth-profiling studies show that the resulting film consists of a Ti3N4 layer on top of TiN. This suggests that the reduction of titanium takes place during the exposure of the surface to TiCl4, not NH3, and that it is the first reaction of the cycle the rate limiting in the whole ALD process.
4:00 PM TF+SS-TuA-7 Surface Processes of Plasma-Assisted Atomic Layer Deposition
W.M.M. Kessels (Eindhoven University of Technology, The Netherlands)
Atomic layer deposition (ALD) is the method of choice for the deposition of ultrathin films with a high conformality and with precise thickness control. The extension of the technique with plasma processes (i.e., plasma-assisted ALD) provides several potential advantages over thermal ALD such as an enhanced growth rate, improved material properties, and lower deposition temperature. However mechanistic studies are scarce and detailed insight into the surface processes of plasma-assisted ALD is still lacking while being imperative for further process optimization. In this contribution surface processes during plasma-assisted ALD are addressed on the basis of studies of oxide (Al2O3, HfO2) and metal nitride (TiN, TaN) film growth employing several in situ diagnostics. From in situ spectroscopic ellipsometry and quartz crystal microbalance measurements precursor adsorption reactions are discussed addressing the formation of surface species, substrate-inhibited growth, and film nucleation. The surface reaction products as well as the consumption of precursor and plasma species are discussed from time-resolved mass spectrometry studies as well as from optical emission spectroscopy, a unique feature provided by the plasma process. On the basis of the results, the paradigms for plasma-assisted ALD are reviewed and differences with thermal ALD processes are discussed.
Time Period TuA Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2006 Schedule