AVS2004 Session MN-MoP: Poster Session

Monday, November 15, 2004 5:00 PM in Room Exhibit Hall B

Monday Afternoon

Time Period MoP Sessions | Topic MN Sessions | Time Periods | Topics | AVS2004 Schedule

MN-MoP-1 Fabrication of PLT Thin Film Waveguides by Low Temperature Two-Step Pulsed Laser Deposition Processes
J.-S. Kao, D.-R. Liu, C.-H. Tsai (National Science Council, Taiwan); I.-N. Lin (Tamkang University, Taiwan)
Lanthanum-modified lead titanate (PLT) thin films have attracted much attention in the application of integrated optical devices due to their high optical transparency and superior electro-optical (EO) properties. Optical signals propagation loss is the most important concern for these waveguide type devices. Because the optical absorption, scattering and leakage result from various waveguides structure defect, including PLT concentration proportion, grain boundary, surface roughness and waveguide structure, they will attenuate optical signals through the waveguide. The fabrication of PLT films have been well-developed by utilizing sputtering, chemical sol gel and excimer pulsed laser deposition (PLD) processes, whereas there is few study on the device application because of its difficulty on either wet or dry etching in microfabrication. In this study, the Pb1-xLaxTiO3 (x = 0.28) thin films were synthesized at 150°C on MgO (100) substrates by frequency-quadrupled Nd:YAG pulsed laser (266 nm) deposition process with a defocused laser beam. Followed by 600°C post-annealing in oxygen atmosphere, the PLT planar thin film waveguides with smooth surface, high transparency and texture were produced. These characteristics were revealed by atomic force microscopy, UV/VIS/NIR spectrophotometry, and x-ray diffractometry examination. Propagation loss of the planar waveguides were measured by a prism coupler. A PLT 28 planar waveguide with a low propagation loss less than 1dB/cm was successfully prepared. Two-step PLD and lift-off processes were introduced to fabricate the ridge type channel waveguides. The light propagated properties of these waveguides were also examined by using IR (1550 nm) capture of scattered light method.
MN-MoP-2 Three-Terminal Nano-Manipulator Fabrication by Focused-Ion-Beam Chemical-Vapor-Deposition
M. Kawamori, R. Kometani, S. Matsui (University of Hyogo, CREST-JST, Japan)
We have demonstrated that the highly functional nano-mechanical devices with three-dimensional structure can be fabricated by using focused-ion-beam chemical-vapor-deposition (FIB-CVD). So far, we reported the nano-manipulator using electrostatic repulsion between 2 terminals with the same polarity by FIB-CVD. However, there was one disadvantage which was a high operation voltage over 300 V. To reduce an operation voltage, we have proposed a 3 terminal nano-manipulator which is composed of two external-terminals and one center-terminal. The polarity of external-terminals are different from that of a center-terminal. The principle of movement is as follows. When positive and negative voltages are applied on 2 external-terminals arranged outside and a center-terminal arranged inside, the external terminals are attracted to a center-terminal by a electrical attractive force. Features of three-terminal nano-manipulator are as follows. (1) Since the tips of two outside terminals are homo-polar, both insulator and conductive materials can be manipulated. (2) As the structure is 3 terminals, a control accuracy of operation is improved. (3) Since an electrostatic attraction force is applied, it can operate on a lower voltage than that of 2 terminal nano-manipulator. The experimental result indicates that an operation voltage of 3 terminal nano-manipulator was 60 voltage, which was a lower voltage than that of 2 terminal nano-manipulator.
MN-MoP-3 Enhancement of Piezoelectric Properties of Silver Doped Pb(Zr,Ti)O3-Pb(Mn,Wn,Sb,Nb)O3 Thin Films
H.W. Chung, S.H. Lim, E.S. Lee, S.Y. Lee (Yonsei university, Korea)
The dielectric and piezoelectric properties of silver doped Pb(Zr,Ti)O3-Pb(Mn,W,Sb,Nb)O3 thin film deposited on a Pt/Ti/SiO2/Si substrate by pulsed laser deposition has been investigated depending on silver contents. By varying the contents of silver from 0 ~ 1 mol.%, the effect of silver doping on PZT-PMWSN thin film was systematically observed. No reaction between silver and PZT is observed in X-ray diffraction analysis. As increasing silver contents, it is observed that the relative dielectric constant increased because of effective electric field in the dielectric phase.
MN-MoP-4 Electron Transport in Nanomechanical Devices
P. Datskos, N.V. Lavrik (Oak Ridge National Laboratory)
New phenomena can be observed in electronic nanosystems because of quantum confinement, the resonant electronic structure associated with this confinement and due to the discreet nature of electric charge. More recently, we have explored Focused Ion Beam (FIB)-induced growth in order create vertical pillar-like nanomechanical structures using direct-write FIB-assisted growth. These nanomechanical resonators have resonance frequencies in the range of 1 to 30 MHz. We have created Si QPC structures using an FIB milling approach that consists of a suspended microbridge (about 2000 nm long) with a narrow (20-40 nm) constriction in the middle of the microbridge. Mechanical actuation of the structure was found to cause significant modulation of stress in the nanobridge region and, in turn, changes in the Si bandgap. We found that mechanical deformations act as a gating mechanism for the electron transfer through the nanoscale constriction. Our preliminary results confirmed modulation of the electron current through the suspended nanorestriction upon its actuation with a modulated diode laser. The fabricated structures suffered from poor mechanical stability and loss of mechanical integrity during our experiments. Furthermore, mechanical and photonic effects of laser actuation still need to be delineated. We will discuss our findings and address potential problems associated with the designs and approaches.
MN-MoP-5 Deep Quartz and Silicon Etching in Newly Proposed ICCP Plasma
Y. Morikawa, T. Koidesawa, T. Hayashi, K. Suu, M. Ishikawa (ULVAC, Inc., Japan)
We have developed a new etching system for MEMS application. This System provides combined plasma of inductive coupled plasma (ICP or NLD) and a kind of capacitive coupled plasma (CCP), which is named as ICCP (Inductive coupled and capacitive coupled plasma). Using this system, deep silicon etching is capable even if fluorocarbon gas and SF6 are not fed in the etching process. So this system is very friendly in view of GWP. SiO2 etching is carried out in the NLD1,2 Plasma, which is generated by forming the magnetic neutral loop in the ICP plasma. The NLD plasma has a higher electron density with lower electron temperature at low pressure below 1 Pa, compared with that of ICP. The selectivity of SiO2 to PR is improved to obtain more than 50 by tuning the contribution of inductive and capacitive plasma discharge. Silicon deep etching was carried out with the SiO2 mask in the ICCP plasma, in which the electrodes were timely modulated for etching and deposition at a high-pressure region above 2 Pa. The selectivity of 300 or more was obtained for SiO2 mask. The etch rate was about 15um/min when SF6 was fed and about 5um/min when Ar/F2 mixed gas was fed. Typically, the depth of 80 um or more with the trench width of 35 um was anisotropically etched at the etch rate of 10 um/min by the electrode modulation method in the ICCP plasma, in which cleaning step was not necessitated. Thus, even if the global warming gases were not used, deep Si etching was achieved. This is the most striking feature of the ICCP etching system.

1)W. Chen et al, J.Vac. Sci. Technol. A19(6) (2001) 2936 2)Y. Morikawa et al., J.Vac. Sci. Technol. B21(4) (2003) 1344 .

MN-MoP-6 Wafer Scale Replication of a Micro-well Array Assay Chip
M.W. Lee, S.-B. Jo, K.-C. Lee, K.J. Lim (Inha University, South Korea); J.K. Suh (LGLS, South Korea); B.-H. O (Inha University, South Korea)
Technological issues regarding microfabrication of a micro-well array chip are presented. Microfabrication processes have been widely used to make a micro-mold using micromachining processes based on the semiconductor fabrication processes. Its intermediate product is a wafer mold. It can be used as a parent of other molds, like a Ni electroplated mold. Or, the intermediate wafer can be used as a mold directly for a polymer replica. In this study, polymer replication processes using an intermediate wafer, a Ni electroplated mold, and a wafer mold coated with anti-adhesive layer are performed and the corresponding characteristics are compared. Target device is a polymer based micro-well array assay chip. The Si wafer was patterned conventionally and etched by using ICP. The Ni mold showed good performances for replicating the polymer assay chip. As it was not adequate to use the intermediate as-etched Si wafer as a mold for the polymer replication due to the adhesion problem, Teflon-like film was coated as an anti-adhesive layer on top of it. Replication performance of the film coated wafer mold was good enough to replace the Ni mold. Multiple replications were carried using the coated wafer without stiction problems, enough to be used as a mold in a mass replication process.
MN-MoP-7 Development of a Disposable Microchip with Capillary Electrophoresis and Integrated Three-Terminal Electrochemical Detection
J.H. Kim, M.C. Moon, Y.S. Kim (Myongji University, Korea)
We have developed a microsystem with capillary electrophoresis (CE) and electrochemical detector (ECD). The microfabricated CE-ECD systems are adequate for a disposable type and the characteristics are optimized for an application to the electrochemical detection. The system was realized with polydimethylsiloxane(PDMS)-glass chip and indium tin oxide (ITO) electrode. The injection and separation channels (80 m wide 40 m deep) were produced by moulding a PDMS against a micro fabricated master with relatively simple and inexpensive methods. ITO electrode was fabricated by patterning the ITO film deposited on a fusion glass. A capillary electrophoresis and a three-electrode electrochemical detector were fabricated on the same chip. Unlike analogous CE/ECD devices previously reported, no external electrodes were required. The surface of PDMS layer and ITO-coated glass layer was treated with UV-Ozone to improve bonding strength and to enhance the effect of electroosmotic flow. The running buffer was prepared by 10 mM 2-(N-morpholino)ethanesulfonic acid (MES) titrated to pH 6.5 using 0.1 N NaOH. The testing analytes are consisted of 1 mM catechol and 1 mM dopamine. Separation of catechol and dopamine was performed using an electric field strength of 60 V/cm after applying an injection electric field of 50 V/cm. The ECD circuit was adjusted to maintain a potential of +600 mV DC between the working and reference electrodes. The electrochemical detection circuit could mostly decouple the interference of a separation electric field. The root-mean-square noise level was ~10 pA on a signal 10 nA in 10 mM MES. The limit of detection for dopamine was ~0.5M The disposable CE/ECD system showed similar results with the previously reported expensive system in the limit of detection and peak skew. When we are using disposable microchips, it is possible to avoid polishing electrode and reconditioning.
MN-MoP-8 Dissipative Processes in Single-Paddle and Double-Paddle Nanomechanical Silicon Resonators
J. Li, S. Evoy (University of Pennsylvania)
Resonant nanomechanical devices represent powerful platforms for the detection of physical, chemical, and biological processes. However, mechanical quality factor is known to decrease at small dimensions, and the origins of the dominant dissipative mechanisms in resonators of such scales remain misunderstood. We report a study of energy dissipation of nanomechanical resonators through a set of differing devices designed to help isolate and identify dominant dissipative mechanisms. The resonators are fabricated by the nanomachining of silicon-on oxide layers, and characterized by a laser interferometry technique Geometries include double-clamped and single-clamped cantilevers, as well as single-paddle and double-paddle torsional resonators. We will first report on the linear and non-linear dynamics of these devices. Resonant frequencies and the low to mid MHz range and qualities reaching Q = 15 000 are observed in single clamped and doubly clamped structures of width w = 2 um, length from 5 um to 40 um, and thicknesses of t = 150 nm to 400 nm. For the double paddle torsional devices with symmetric geometry, 4 modes of motion are observed which are ascribed to the in-phase/anti-phase flexural, and in-phase/ anti-phase torsional modes of the paddles. For 150 nm thick devices, the resonant frequencies are f0 = 1.9 MHz, 3.55 MHz, 4.10 MHz, and 8.67 MHz respectively. For double paddle design with asymmetric geometry, two flexural modes are observed at 1.19 and 2.86 MHz, respectively. Onset of non-linear behavior is observed under large excitation amplitudes in several of the devices. We will also report a study of the impact of aging, process induced-damage, controlled ion beam induced damage, as well as post-processing annealing on the performance of these resonators. Such results will allow the isolation of the impact of such issues on devices of various designs, dimensions, and geometries.
MN-MoP-9 Plasma-Free Etch Chemistry to Realize Defect-free GaAs Micromechanical Resonator Structures1
S.W. Kang, S.B. Shim, J.H. Kong, K.R. Char, Y.D. Park (Seoul National University, Korea)
We report on the fabrication of GaAs micromechanical resonator structures utilizing plasma-free etch chemistry, by utilizing latticed-matched MOCVD grown GaAs(0.5 µm)/In0.5Ga0.5P(0.5 µm) alloy system patterned by selective wet-etch chemistries. GaAs (100) cap layer was defined with photoresists and patterned in a citric acid/H2O2 solution, resulting in near vertical side-wall profiles. After GaAs cap patterning and resist removal, HCl solutions of varying concentrations were investigated for selectivity of InGaP over GaAs for various conditions: temperature, agitation, and differing crystallographic directions. For 12 M HCl solution, vertical etch-rate was found to be ~3 µm/min under agitation. Lateral etch of InGaP layer between GaAs layers starts with initial etch fronts of vee, mixed, and dovetail observed by cross-sectional SEM with predominance of dovetail etch front for lateral etch direction 45° from the cleave direction. The lateral etch rate at 20°C for 12 M HCl solution was highly directional dependent with GaAs cap edge patterned parallel to the cleave direction [011] to be nearly zero and edge 45° from the cleave direction to be ~1 µm/min, similar behavior as observed by Cich et al.2 For increasing dilution of HCl, the etch rate, especially lateral etch rate, was drastically reduced, with no observable lateral etch for 1:4 HCl:H2O. For all HCl solutions, high selectivity of InGaP over GaAs was observed. Micromechanical GaAs resonator structures with aspect ratios as high as 30 was realized by simply drying in a flow of dry N2 gas. Along with the investigation of etch chemistry, expected benefits of plasma-free fabrication of GaAs-based NEMS resonators will be presented.


1 This work is partly supported by KOSEF and Samsung Electronics Endowment through CSCMR and by KIST Vision 21 Project.
2 M.J. Cich et al., Appl. Phys. Lett. 82, 651 (2003).

MN-MoP-11 Determination of Young's Modulus for Silicon Membrane in Cryogenic Micropump using Laser Michaelson Interferometry
Y. Zhao, B. Li, X. Zhang (Boston University)
In micro satellites, lots of dedicate instrumentations were compacted in a limited room for demonstration of new concepts. The ambient temperature would rise if heat generated could not be well dissipated, which will ruin many heat sensitive devices, such like infrared camera. As a solution, a two phase loop using micro pump was used to keep a narrow cryogenic range. This micropump depends on elastic deformation of silicon membrane to transfer cryogen. The mechanical properties of the membrane, especially elastic modulus, are thus critical in heat balance analysis. However, conventional tensile experiment was not practicable for non bulk material and in cryogenic environment. In this paper, we report the work using laser Michaelson interferometer for Young's modulus measurement of thin membrane in cryogenic environment. Square membranes were fabricated on SOI wafers. The membrane deflection was achieved using laser Michaelson interferometer. Actuating with differential pressure of compressive gas, the dependency of deflection upon temperature was achieved. Given the actuating pressure and membrane geometry, Young's modulus of the membrane was consequently obtained. It was found Young's modulus increases with decreasing temperature, indicating a much stiffer material in cryogenic environment. A calibration was further carried out utilizing longitudinal wave propagating along the membrane thickness. The membrane was locally vibrated using 1064 nm Nd:YAG laser. The generated longitudinal wave was examined at the same point by laser Michaelson interferometer. Young's modulus derived from the propagating velocity corresponds with previous deflection measurement. It provides a feasible way to determine membrane stiffness in cryogenic environment, which is not limited to single material membrane, but also good for any composite membranes, like silicon membrane deposited with oxide film, which is not readily practicable using other current approaches.
MN-MoP-12 Process Integration for Through-Silicon Vias
S. Burkett, L. Schaper, Z. Rahman, G. Vangara, S. Spiesshoefer, S. Polamreddy (University of Arkansas)
Development of an integrated process flow for the fabrication of Cu filled vias in silicon will be described. The formation of a through-silicon via (TSV) enables three-dimensional (3-D) interconnects for chip-stacking applications that will be especially important for integrating heterogeneous devices. A strong motivation for 3-D interconnects is the much reduced time delay that will be observed by connecting chips at the via or interconnect level rather than through conventional metallic wiring. The formation of a TSV involves many processing steps with the major areas including: via formation; deposition of via insulation, barrier, and Cu seed films; Cu electroplating for via-fill; wafer thinning; and backside processing. The via diameter is 4-8 μm, via depth is 15-20 μm, and a 20 μm pitch is used in this study. Vias formed at these dimensions will result in a high interconnect density. A primary challenge to implementation of 3-D stacking is the process integration involved in TSV technology. A major requirement is that the techniques in the integrated process flow are chemically, mechanically, and thermally compatible. Vias are formed by both conventional reactive ion etching (RIE) and by the Bosch process using deep RIE (DRIE). These processes will be compared. The via profile that is obtained determines the step coverage that will be achieved in the subsequent thin film deposition steps. SiO2 deposited by PECVD forms the via lining; sputtered TaN and Cu provide barrier and seed films, respectively. A fountain Cu reverse pulse electroplating method is used to fully fill the vias. A carrier wafer is required at this point for handling thin wafers. Wafer bonding of this carrier wafer involves a lamination process and wafer thinning involves mechanical grinding, chemical spray etching, and a blanket etch to open the vias. Each step will be described in the process flow with the considerations discussed for successful process integration.
MN-MoP-13 Processing and Characterization of MEMS Thermal Switches for Emittance Control
S.L. Firebaugh, M.A. Beasley (United States Naval Academy); R. Osiander, A.C. Keeney, R.L. Edwards (Johns Hopkins University)
The trend towards smaller satellites requires a new type of thermal control. Current thermal control systems do not scale well with miniaturization. An alternative is to coat the satellite with an active "skin," formed by many microelectromechanical devices, that can control the emissivity of the satellite surface. Previous work has explored the use of microscale louvers and shutter arrays 1. An alternative technique is to insert a variable thermal conductivity layer between the satellite and an emissive outer surface 2. Our approach uses a MEMS switch to implement the variable thermal conductivity layer. This system relies on gold membranes, coated with an emissive upper layer and suspended above the device substrate on polymer posts. The application of a voltage pulls the devices into contact with the substrate, resulting in conduction of heat from the substrate to the outer layer, where the energy can be emitted as light. Prior work has described the initial prototype and test results for this design 3, which verified the electrostatic model for the device. These prototype devices, however, were not optimized for thermal performance and did not include the high-emissivity layer which is crucial to device operation. This poster will discuss the implementation of the second generation design of the device, which includes design modifications and the development of a high-emissivity coating layer.


1 R. Osiander et al., "Microelectromechanical Devices for Satellite Thermal Control," to appear in IEEE Sensors J., August 2004.
2 W. Biter et al. "Electrostatic Radiator for Spacecraft Temperature Control," Space Technology and Applications International Forum (STAIF 2004), pp. 96-102.
3 M. A. Beasley et al., "MEMS Thermal Switch for Spacecraft Thermal Control," SPIE Photonics West Conference, San Jose, California, Jan 13-29, 2004.

Time Period MoP Sessions | Topic MN Sessions | Time Periods | Topics | AVS2004 Schedule