AVS2004 Session PS1-MoM: Low-k Dielectric Etching

Monday, November 15, 2004 8:20 AM in Room 213A

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2004 Schedule

Start Invited? Item
8:20 AM PS1-MoM-1 Etching of SiC and SiCN with Tetrafluoroethane/Oxygen Reactive Plasma
H.C. Galloway (Texas State University); K.P. Radican (Trinity College Dublin, Ireland); J.M. McDonald, C. Martinez, D. Donnelly, D.C. Koeck (Texas State University)
Two materials, SiC and SiCN, are being increasingly considered as barriers for low dielectric constant materials in integrated circuit systems. It is important for researchers to be able to effectively remove these barrier layers to provide ohmic contact to the silicon substrate. The etch rate as a function of oxygen concentration was investigated in the RF magnetron plasma etching of SiC and SiCN with tetrafluoroethane gas. The etch rate and surface roughness were measured with atomic force microscopy, while evidence of polymer deposition or other surface contamination was analyzed with FTIR. Etch rates of > 10 nm/sec can be achieved with high selectivity with respect to an aluminum mask, and near infinite selectivity with respect to silicon. This process has been demonstrated to be compatible with producing test structures of aluminum contacts to measure the electrical properties of some low-k materials. Tetrafluoroethane is of interest due to its high fluorine content. It is also a nontoxic, ozone friendly gas with a short atmospheric lifetime. The role of oxygen in the etching process will be discussed and this etching process will be compared to other similar etches that have been previously reported.
8:40 AM PS1-MoM-2 Investigation of Fundamental Etching Reaction of Organic Low Dielectric Film Using Ion Beams with Radical Injection
M. Yuuhei, H. Masaru, G. Toshio (Nagoya University, Japan); A. Atsuhiro, T. Tetsuya (Sony Co, Japan)
Etching of organic low dielectric (low-k) interlayer films has been an essential process in ULSIs. However, this process becomes more complex and requires the high accuracy. Therefore, quantitatively understanding of this process is very important. Low-k etching using plasma, it is impossible to control the radicals and ions independently and so it is difficult to clarify the etching mechanism quantitatively. In this study, the ion beam apparatus with radical injection was developed and applied to the investigation of fundamental reaction of organic low-k film. The apparatus is composed of Ar+ beam source, electron shower gun, and radical injection source. A compact electron cyclotron resonance plasma source was used as a radical source. To remove the electrons and ions in the plasma, two retard electrodes were installed in front of the plasma source. Using the vacuum ultraviolet absorption spectroscopy, the absolute densities of H and N radical generated by radical source were evaluated. Etching sample was blanket film of SiLKTM. The etching subsurface reactions were measured by in-situ XPS. The etch rate by Ar+ beam was enhanced by the injection of H and N radicals. Especially, the etching rates of injection of mixing radicals of H and N were high comparing with those of H or N radical. The C=N/C-N ratio of subsurface was increased with increasing N radical and H+N radical density under the Ar+ ion bombardment When H+N radical was injected under the high ion energies above 300eV, the C=N/C-N ratio was higher than those under the low ion energies. Consequently, the high C=N/C-N ratio of the subsurface is a key factor for the high etch rate of the organic low-k film.
9:00 AM PS1-MoM-3 Fluorocarbon Surface Chemistry in Dual Frequency Capacitively Coupled Discharges for Dielectric Etching: A Comparison with Inductively Coupled Plasmas
L. Ling, X. Hua, L. Zheng, G. Oehrlein (University of Maryland at College Park); E.A. Hudson (Lam Research Corp.); P. Jiang (Texas Instruments Inc.); P. Lazzeri, M. Anderle (ITC-irst, Italy); Y. Wang (National Institute of Standards and Technology)
Mechanically confined dual-frequency capactively coupled plasma (DFCCP) reactors featuring a high frequency powered electrode for plasma production and low frequency RF biasing for ion bombardment control of the substrate are increasingly being used for fluorocarbon (FC) plasma-based pattern transfer into SiO2 and low k dielectric materials. We describe a study of confined DFCCP properties fed with C4F8/Ar and C4F6/Ar. In particular, we compare the chemistry of FC films formed on various surfaces with data obtained for inductively coupled discharges. Precursor gas dissociation is determined using mass spectrometry. The composition of the incident ion flux is determined by ion-sampling. The deposition rates, composition and bonding of passively deposited fluorocarbon films (no etching of the substrate), and the composition, bonding and thickness of the surface reaction layers that form on SiO2, resist and silicon surface during steady state etching are determined as a function of processing conditions using ellipsometry and X-ray photoemission spectroscopy. The influence of ion bombardment on the composition of deposited fluorocarbon films is studied by comparing FC films deposited underneath a small gap structure with those deposited on ion bombarded surfaces. The influence of pressure, RF bias and gas mixture of FC-based DFCCP on the characteristics of resist pattern transfer into organosilicate glass and nanoporous silica is also reported.
9:20 AM PS1-MoM-4 Low-k and Porous Low-k Sidewall Roughening: Fluorocarbon Plasma and Beam Measurements
Y. Yin, S.A. Rasgon, H.H. Sawin (Massachusetts Institute of Technology)
For the patterning of sub 100 nm features, a clear understanding of the origin and control of line edge roughness (LER) is extremely desirable, both from a fundamental as well as a manufacturing perspective. Plasma etching processes often roughen the feature sidewalls, leading to the formation of anisotropic striations. It is this post-etch sidewall roughness which will ultimately affect device performance. The integration of organosilicate glass (OSG) and porous OSG films as low-k interlayer dielectrics presents new challenges from a roughening standpoint, particularly when using highly polymerizing fluorocarbon plasma chemistries typical of oxide etching. Under certain conditions the added carbon present in OSG films can increase localized deposition, yielding both carbon-rich and substrate-rich areas of the sample, and creating surface roughness based on the etch selectivity difference (polymer micromasking). Additionally, fluorocarbon polymer can be seeded into the pore structure of porous OSG films, magnifying the effect. We have previously examined this effect on planar samples in a conventional plasma etcher. However, the role of fluorocarbon polymerization on sidewall roughening/striation of OSG/porous OSG has not been investigated. Therefore, we have undertaken an examination of this sidewall roughening using a new, inductively coupled plasma beam source. This source allows the exposure of a sample to a realistic ion and neutral flux, of any desired plasma chemistry, while allowing independent control of the ion bombardment energy and incident angle. By rotating the sample to a near-glancing angle, a sidewall can be simulated, eliminating any effects associated with patterning. The effects of ion bombardment, impingement angle, and fluorocarbon chemistry (highly polymerizing vs. low polymerizing) on the roughening of SiO2, OSG, and porous OSG are discussed. Finally, insight into the surface roughening mechanism is obtained through modeling.
9:40 AM PS1-MoM-5 The Effects of Pore Morphology on the Diffusive Properties of a Porous Low-K Dielectric
E.A. Joseph, M.J. Goeckner, L.J. Overzet (University of Texas at Dallas); D.W. Gidley (University of Michigan); B.E.E. Kastenmeier (IBM/International Sematech)
Porous methylsilsesquioxane-based spin-on films with pore sizes of 1.5 - 2 nm and porosities ranging from 0 - 31% have been exposed to fluorocarbon and oxygen plasma chemistries to determine the integratability of the films. Using both spectroscopic ellipsometry and Auger electron spectroscopy, the porosity of the modified films was found to decrease during fluorocarbon and oxygen plasma exposure due to fluorine in-diffusion and carbon depletion, respectively. The depth of these compositional modifications is also measured and correlated to the porosity and pore interconnectivity, determined from Positronium Annihilation Lifetime Spectroscopy. Parallel studies of TaN atomic layer deposition also reveal tantalum in-diffusion, with diffusion lengths ranging from 40 - 125 nm (depending on porosity), and are comparable to those of fluorine, indicating that the diffusion and depletion lengths are governed by pore size and interconnectivity and are not material dependent. Lastly, moisture uptake in these films was examined and found to significantly affect both the overall porosity of the unprocessed films as well as the diffusion and depletion lengths of fluorine and carbon.

This work is supported by a grant from NSF/DOE, CTS-0078669.

10:00 AM PS1-MoM-6 Using In-vacuo Electron-Spin-Resonance and Infrared Spectroscopy Technique in the Analysis of Surface Reactions of Low-k films during/after Plasma Processes
K. Ishikawa (Tohoku University, Japan); Y. Yamazaki, S. Yamasaki (AIST, Japan); T. Ozaki, Y. Ishikawa, S. Noda, S. Samukawa (Tohoku University, Japan)
Using in-vacuo electron-spin-resonance (ESR) and infrared spectroscopy (FT-IR) techniques, surface reactions of low-k (porous methylsilsesquioxane, MSQ) films during/after plasma processes were studied. To understand the reaction mechanism on the surface with impinging species as ions, radicals, and photons, creation of dangling bonds (DBs), namely, bond breaking, is indeed a key process. The created DBs are playing an important role for surface chemical reactions. Applying our knowledge about pure SiO2, the extensive study was made with respect to the porous MSQ, which is a candidate for future 45 nm node devices. Samples were prepared by spin-on coating a film of porous MSQ, on a bare Si substrate. The substrate was placed in a parallel-plate type reactor. Plasma discharge was sustained for proccessing. Since the DBs are affected by air exposure, our in-vacuo measurement needs to observe real feature of DBs. Thus, soon after the plasma process, an ESR spectrum was measured following transferring to the ESR cavity under vacuum ambient. Carbon-DB in the film is identifiable from g-value of the ESR signal. This indicates that the plasma process creates easily carbon-DBs, which has a highly chemical reactivity with oxygen.1 We also carried out an experiment using FT-IR. On an infrared spectrum of the film after the process, the decrease of the peak arising from Si-CH3 bonds was clearly observed. Tentatively, we speculate that not only reactive species but also plasma characteristics as emissions affects to creation of the carbon-DBs and the created C-DBs plays an important role for the surface modification during/after the plasma process.


1 K. Ishikawa, et al. Appl. Phys. Lett. 81, 1773 (2002).

10:20 AM PS1-MoM-7 Minimizing low-k Damage during In-situ Photoresist Strip
E.A. Hudson, T. Choi, O. Turmel, L. Zheng, K. Takeshita, S. Lee, P. Cirigliano (Lam Research Corp.)
To increase the speed of devices, microelectronics fabrication is shifting to low-k dielectric materials as insulators for interconnect layers. k values may be reduced below ~3.0 using carbon-doped materials such as organosilicate glass (OSG). k is further reduced, below ~2.5, by introducing pores in the film. Problems may arise, however, because carbon is easily removed from these materials during plasma processing, specifically during the etching of lines and vias, and the photoresist strip after etch. Carbon loss causes an increase in the dielectric constant and thus degrades device performance. This paper focuses on the damage trends for carbon-doped dielectrics arising from in-situ photoresist strip in a capacitively-coupled dielectric etch system. Microscopic test structures have been developed, which allow direct measurement of the intrinsic damage which results from the interaction of the plasma strip environment with the unprotected and unmodified sidewall of a trench. Damage trends have been evaluated as a function of pressure and frequency of RF excitation during the strip. Damage is minimized for pressures in the 10 - 50 mTorr range. Etch processes typically leave a polymer coating on the sidewall of trenches and vias. This sidewall passivation is found to greatly reduce the low-k damage induced by the strip process. Ultimately the polymer must be removed from the sidewall to allow subsequent fabrication steps, but during the strip this film acts as a protective barrier against low-k damage. Therefore an overall strategy for minimizing damage is to run a low pressure strip, under conditions which preserve sidewall passivation as long as possible.
10:40 AM PS1-MoM-8 Comparison of In-situ and Ex-situ Resist Strip Process for Ultra Low-k/ Cu Interconnect
H. Xu, A. Shen, V. Tarasov (ULVAC Technologies); B. White, J. Wolf (International Sematech)
According to the ITRS roadmap, ILD layer with effective dielectric constant (keff) of < 2.7 will be needed for 65 um technology node for high performance logic devices. To achieve keff of < 2.7, ultra low-k film with bulk k of < 2.1 will be needed. One of the challenges in integrating the ultra low-k material is the susceptibility of low-k material to damage from the post etch resist ashing and residue clean process. Directional resist ashing at low wafer temperature may provide a solution for avoiding damage to ultra low-k materials. Directional resist ashing can be done either in situ in a low-k etch chamber or ex situ in a standalone ash chamber. In this paper we will compare the process results between in situ and ex situ resist ash for ultra low-k film. The N2/H2 in situ ashing was done in a low k etch chamber which is a magnetically enhanced RIE reactor. The O2 based ex situ ashing was done in a plasma chamber on an asher platform. This chamber incorporates a WCP plasma source and an independent wafer RF bias for independent plasma density and ion energy control. The WCP source was an ULVAC designed inductively coupled plasma source for achieving higher plasma density and lower electron temperature than a conventional ICP source. One experiment with an N2/H2 chemistry shows that while both in situ and ex situ resist ash shows comparable RC products. The RC product is an indirect measure of keff, obtained from serpentine and comb test structure of 0.125/0.175um line width/spacing, indicating equally low damage to the ultra low-k film by the ash process. The ex situ N2/H2 ash process caused much less corner rounding of the SiC cap layer . Another experiment using a dilute O2 ash process, shows that the RC product is sensitive to the chamber conditions used for resist ashing, suggesting mixing low k etch with O2 based resist ash in the same chamber may cause more damage to ultra low-k film.
11:00 AM PS1-MoM-9 Impact of Different Ashing Plasmas on Porous and Dense SiOCH
T. Chevolleau (LTM-CNRS, France); N. Posseme (STMicroelectronics, France); T. David, O. Joubert (CNRS/LTM, France); O. Louveau (STMicroelectronics, France); D. Louis (CEA-LETI, France)
In CMOS technology, the introduction of porosity into Low-k dielectric is the dominant strategy to achieve future generation of ultra low K interlayer dielectric materials (k~2.2). One of the integration challenges with these new materials are their structural modification during the etch and stripping processes due to a higher sensitivity with respect to the plasma . This study is dedicated to the impact of ash processes on a non porous SiOCH (k = 2.9) and a porous SiOCH (k=2.2, 50% void). The ash processes were carried out on blanket wafers either in a Magnetically Enhanced Reactive Ion Etcher using O2 and NH3 plasmas or in a photoresist stripper using H2 and O2 based downstream microwave plasmas. After plasma exposure, the surface and bulk modification of SiOCH films are investigated using quasi in-situ X-Ray Photoelectron Spectroscopy, Attenuated Total Reflection spectroscopy, Spectroscopic Ellipsometry and contact angle. The results show that the degree of SiOCH bulk modification is related to the carbon depletion and the moisture adsorption in the remaining film after plasma exposure. The materials are not altered in an H2 based plasmas without nitrogen whereas a film degradation is clearly pointed out in O2 based plasmas. When N2 is added to O2 or H2 plasmas, the porous film degradation is significantly enhanced. These results reveal that the best ash chemistries are H2/Ar and H2/He gas mixture. The P.R stripping feasibility on porous SiOCH films integrated in a single damascene structure is also performed and electrical results demonstrate that NH3 and H2/He ashing chemistries minimize the degradation of porous SiOCH compared to oxygen containing gas mixtures. Furthermore, Energy Filtred Transmission Electron Microscopy analyses reveal an efficient pore sealing with the NH3 chemistry leading to the elimination of TiN diffusion through the pores during barrier deposition.
11:20 AM PS1-MoM-10 Analysis of Ash-Induced Modification of Porous Organosilicate Glass Inter-Level Dielectric Materials on Patterned Structures Utilizing Electron Energy Loss Spectroscopy and Angular Resolved XPS
N.C.M. Fuller, T.J. Dalton (IBM TJ Watson Research Center); C. Labelle (Advanced Micro Devices Inc.); M.A. Worsley (IBM TJ Watson Research Center, Stanford University); D. Dunn, T.S.L. Tai (IBM Microelectronics Division)
We have previously illustrated the need for analyzing patterned structures versus blanket wafers to effectively understand the dominant mechanism(s) effecting inter-level dielectric (ILD) modification during photoresist removal for damascene processing. The evolution of CMOS technology to maintain the ITRS roadmap potentially demands the introduction of porous (OSG or SiCOH)-based materials which are even more susceptible to ash-induced modification than their dense counterparts; as a consequence, the demand not only for finding a suitable ash solution (for specific integration schemes), but also for completely understanding the dominant mechanism(s) that modify these porous films is quite critical. Work to date by these authors has concentrated on analyzing ash-exposed ILD surfaces via electron energy loss spectroscopy (EELS) and examining the chemical changes in the surface as a function of ash chemistry/conditions. This work will summarize recent efforts aimed at further unraveling the mechanism(s) that influence the modification of relevant porous OSG-based ILD materials via the use of both EELS and the more sensitive angular resolved XPS (AR XPS) on both 200mm and 300mm patterned wafers. Relevant results will be presented.
11:40 AM PS1-MoM-11 Highly Selective Etching of Si3N4 to SiOC by Precise Ion Energy Control for sub-90 nm Dual Damascene Formation
H. Hayashi, A. Kojima, A. Takase, K. Yamamoto, I. Sakai, T. Ohiwa (Toshiba Corporation, Japan)
Sub-90nm LSIs designed for high speed and low power operations, demand introduction of low-k material for interlayer dielectric material. We have reported that the 100 MHz rf capacitive coupled plasma (CCP) RIE process is the most suitable for etching organic film because of its low ion energy1,2. Furthermore, for low-k SiOC film etching which requires a higher energy etch process, we have developed dual frequency superimposed (DFS) 100 MHz and 3.2 MHz rf CCP etch processes, which can control electron density and self-bias voltage independently in a wide range. In the damascene etch process of SiOC film using Si3N4 as etch mask, it was observed that the mask edge erosion is strongly dependent on ion energy regardless of selectivity. An excellent etch profile, as well as selectivity was realized by precise ion energy control3. We have newly developed a highly selective Si3N4 to SiOC etch process with CF4/H2 gas chemistry, which requires the reverse selectivity. Such a selective etch process enables dual damascene interconnects formation with a much lower dielectric constant. The blanket SiOC etch rate decreased as H2 addition to CF4 was increased, and became zero when the H2 flow rate was equal to the CF4 flow rate. The blanket Si3N4 etch rate did not decrease with H2 addition, so high selectivity was achieved, regardless of ion energy. Next, this highly selective process condition was applied to Si3N4 etching using SiOC mask, where again, mask edge erosion was dependent on ion energy regardless of selectivity. Highly selective damascene etching of Si3N4 was realized by precise ion energy control using DFS RIE.


1H. Hayashi et. al., Symp. Dry. Process. (2002) p.195
2H. Hayashi et. al., AVS 50th Symp. (2003) PS-TuA5
3A. Kojima et. al., Symp. Dry. Process. (2003) p.13.

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2004 Schedule