AVS2004 Session TF-MoP: Poster Session

Monday, November 15, 2004 5:00 PM in Room Exhibit Hall B

Monday Afternoon

Time Period MoP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2004 Schedule

TF-MoP-1 Effect of the Deposition Parameters on the Microstructure and Optical Properties of Yttria-stabilized Zirconia Thin Films Deposited by r.f. Reactive Magnetron Sputtering
M.H. Shiao (National Science Council, Taiwan); C.W. Peng (Kun Shan University of Technology, Taiwan); C.Y. Su (National Science Council, Taiwan); C.C. Jiang (Ming Hsin University of Science & Technology, Taiwan); S.C. Chang (Kun Shan University of Technology, Taiwan)
Yttria-stabilized zirconia (YSZ) thin films were prepared on (100) Si and quartz substrates by r.f. reactive magnetron sputtering system. Different oxygen to Argon (O2/Ar) flow rate ratios (0% and 2.5%) and working pressures (0.33 Pa to 0.8 Pa) were investigated in the substrate temperatures ranging from room temperature to 500°C. Microstructure and surface roughness (Ra) were examined by X-ray diffraction (XRD) and atomic force microscopy. Optical properties such as refractive index, extinction coefficient and transmission spectrum were measured by spectroscopic ellipsometer and spectrometer. It was found that without oxygen flow rate and the working pressure controlled at 0.33 Pa, the process have the highest deposition rate (2.5 nm/min), the highest transmittance (92.65%) and the lowest surface roughness (2.5 Å) at the deposition temperature of 300 °C. The YSZ crystalline orientation gradually changes from (111) to (200) when the deposition temperature above 300°C. When the oxygen to Argon flow rate ratio was 2.5% and the working pressure controlled at 0.33 Pa, the surface roughness decreases with increasing deposition temperature. But it still has the highest deposition rate (1.52 nm/min) and the highest transmittance (92.35%) at the deposition temperature of 300°C. The phase changes from amorphous to crystalline above the deposition temperature of 300°C. The deposition rate, surface roughness and transmittance decreased with increasing working pressure when the oxygen to Argon flow rate ratio and deposition temperature were controlled at 0% and 300°C, respectively.
TF-MoP-2 (CdTe)1-xAlx Thin Films Grown by RF Co-sputtering for Photovoltaic Applications1
L.A. Estrada -Domínguez (ESFM-IPN, México); M. Meléndez-Lira, M. Becerril-Silva (Cinvestav-IPN, México); M. Zapata-Torres (CICATA-IPN, México); S.J. Jiménez-Sandoval (Cinvestav-IPN, México)
Solar cells based on the heterostructure CdS/CdTe have been under a continuous development and there are commercial devices available. However, still there are problems limiting the efficiency predicted theoretically. Between the limiting factors, we found the difference in crystal structure between de CdTe (cubic) and CdS( hexagonal) and the inherent production of defects at the CdS/CdTe interface. Besides the interface problems, there are some difficulties to doping CdTe as p-type . We have produced (CdTe)1-xAlx films by RF co-sputtering employing CdTe and Al targets under an Ar atmosphere. Al content was controlled by the RF power of the Al magnetron. An increase in the bandgap depending on the Al content was found and values up to 1.61 eV for 6% of Al content were obtained . The samples presented hexagonal structure with lattice parameters values depending on the Al content, as determined by EDX. Raman spectroscopy clearly shows the incorporation of aluminium into the CdTe lattice. We will present in this work the results of an electrical transport characterization obtained by the Van deer Paw resistivity method and Hall effect measurements. We have found that for some growth conditions we can produce low resistivity samples with p-type conductivity. The results of the electrical characterization will be correlated with studies of the spectral photoresponse, optical and structural characterization carried out on the (CdTe)1-xAlx films. We propose a (CdTe)1-xAlx film as a solution for some of the problems presented in photovoltaics devices based on the CdS/CdTe heteroestructure using it to replace the CdTe film.


1: work partially supported by CONACyT-México.

TF-MoP-3 Tailored Stoichiometries of Silicon Carbonitride Thin Films Prepared by Combined RF Magnetron Sputtering and Ion Beam Synthesis
M. Bruns, U. Geckle, V. Trouillet (Forschungszentrum Karlsruhe GmbH, Germany); M. Rudolphi, H. Baumann (Universitaet Frankfurt /Main, Germany)

There is great interest in the ternary system Si-C-N due to the expected attainability of materials combining the properties of silicon carbide and silicon nitride. Various precursor based techniques have been employed to synthezise silicon carbonitrides. Most of these efforts result in compounds of deficient nitrogen content and considerable hydrogen and oxygen impurities. In contrast, combined RF magnetron sputtering and ion implantation are suitable to achieve high-purity ternary phases with tailored stoichiometries. Si-C films with defined Si/C ratios can be obtained using co-sputter targets of different Si/C area ratios. In a subsequent step surface modification of these Si-C films by high fluence implantation of N ions results in suitable nitrogen concentrations. Severalfold N implantation at different energies enables us to synthesize films with homogeneous element depth-distribution up to the surface. In this work we focus on the most interesting tie lines C3N4 - Si3N4 and SiC - Si3N4. Implanting N ions into sputtered Si-C films enables us to achieve every stoichiometry within the Si-C-N phase diagram. However, the region of attainable stoichiometries is narrowed by formation of Si-C-N phases and N2, respectively. For Si/C ratios ≤1 the N content of 57.4 at.% required for the formation of Si-C-N compounds on the tie line C3N4 - Si3N4 cannot be reached by N implantation near RT.

The chemical composition of the Si-C-N films was characterized by means of X-ray photoelectron spectroscopy. In addition, Auger electron spectroscopy, Fourier transform infrared spectroscopy, Raman spectroscopy, and Ellipsometry were used to achieve a comprehensive characterization. For quantification XPS and AES data were calibrated with absolute concentration values from non-Rutherford backscattering spectrometry. Resonant nuclear reaction analysis provides non-destructive depth profiles of 15N.

TF-MoP-4 Combinatorial Synthesis of Rare Earth-doped Yttrium Aluminum Garnet Thin Film Materials by Using rf Reactive Magnetron Sputtering
Y. Deng, J.D. Fowlkes, P.D. Rack (University of Tennessee)
Yttrium aluminum garnet (YAG) is known to be good host material for rare-earth doped luminescent materials. The rare earth ion typically substitutes for the yttrium sites which have a D2 symmetry in the YAG. The emission spectrum depends on the dopant type. Gadolinium radiates in the ultra-violet region at a dominant wavelength of ~ 312 and 275nm due to intra-band 4f transitions, while cerium peaks from 500 to 650nm due to interband 4f-5d transitions. To investigate the luminescence characteristic of dopants, thin films of gadolinium-doped and cerium-doped yttrium aluminum garnet (YAG:Gd and YAG:Ce) have been deposited by rf reactive magnetron sputtering. The dopant concentration effect on the cathodoluminescent (CL) properties of the films has been studied. Optimized conditions have been achieved by using a combinatorial thin film synthesis technique. The structure and composition of the films have been characterized by scanning electron microscopy (SEM) and energy dispersive spectroscopy (EDS). The crystallinity of the films has been investigated by X-ray diffraction (XRD). The luminescence properties of the films will be correlated to the chemical and microstructural properties of the films.
TF-MoP-5 Electrical Properties of Ni-Cr Thin Films Deposited by Co-Sputtering Method
G.-B. Park (Yuhan College, Korea); P.-K. Shin, B.-J. Lee (Inha University, Korea)
For thin resistor films with low TCR (temperature coefficient of resistance) and high resistivity, we have prepared the thin films by co-sputtering method with pure Ni and Cr targets and studied the effect of the process parameters on the electrical properties. In sputtering process, DC/RF power and pressure are varied as controllable parameters. We have investigated the microstructure and measured the electrical properties. When the Ni/Cr ratios of the deposited thin films were 0.8â^¼1.5, the resistivity was 100â^¼120 µ Ω·ãZ. Below a Ni/Cr ratio of 1.5 (above 40[wt%] of Cr), the TCR became negative. The TCR of the thin films decreased from â?"30 ppm/°C to â?"75 ppm/°C with increasing Cr content. It is suggested that the composition ratio and electrical properties of thin films can be controlled by variation of sputter process parameters.
TF-MoP-6 Target Surface Oxide Layer Formed by Reactive Sputtering of Ti Target in Ar+O2 Mixed Gas
Y. Abe, K. Takamura, M. Kawamura, K. Sasaki (Kitami Institute of Technology, Japan)
Reactive sputtering is a useful method for preparing compound thin films by sputtering metal targets in active gas atmosphere. The surface state of the metal target changes with sputtering conditions and makes important effects on deposition rate, chemical composition of the thin films, and plasma state, however, quantitative studies on the surface state of the target were scarce. In this study, TiO2 films were deposited by reactively sputtering a 2-inch diam. Ti target in a mixed gas of Ar and O2 using a planar RF magnetron sputtering system. Ti model target, a 1 µmm-thick Ti film sputter-deposited on the surface of a Si wafer, was used as a sputtering target for an experiment on target oxidation. The thickness of the oxide-layer formed at the surface of the model target was measured by ellipsometry. Effects of oxygen flow ratio and RF power on the surface oxide-layer-thickness were studied. The oxide layer formed at the surface of the Ti model target was confirmed to be TiO2. The measured oxide-layer-thickness increased with increasing oxygen flow ratio, however, the maximum oxide-layer-thickness formed by sputtering in 100% O2 gas was only several nano meters. No appreciable dependence on RF power and the position at the surface of the target was observed. However, methods of target cooling influenced the surface-oxide-thickness, which indicates that the oxide-layer-thickness increases with increasing target temperature.
TF-MoP-7 Water Absorption and Dielectric Changes in Crystalline Poly(vinylidene fluoride-trifluoroethylene) Copolymer Films
L.G. Rosa, P.A. Jacobson, C.M. Othon, K.L. Kraemer, A.V. Sorokin, S. Ducharme, P.A. Dowben (University of Nebraska-Lincoln)
Crystalline Langmuir Blodgett Copolymer films of vinylidene fluoride with trifluoroethylene (70%:30% and 80%:20%) absorbs water. Water absorption is accompained by film swelling as indicated by an increase in lattice spacing, sometimes by as much as 5%. This water absorption, between 0°C and 40°C, is a result of intercalation or occupation of interstitial sites between the layers of the film, not just water molecules filling voids and deffects site alone. An increase in the film capacitance is observed, although the polymer chains retain all trans configuration of the ferroelectric phase.
TF-MoP-8 Water-incorporation in Reactively Sputtered Carbon Nitride Coatings
B.L. French, Z. Yang, B.C. Holloway (College of William and Mary)
Carbon nitride is a candidate material for tribological/passivating overcoat layers on magnetic recording media due to its low friction coefficient, thermal stability, and high hardness. However, carbon nitride coatings are susceptible to damage from water in humid environments, resulting in an increase in friction coefficients, permeation of water to the underlying coating or delamination if sufficient stress is induced. In the present study carbon nitride coatings were prepared by DC planar magnetron sputtering. The working gas composition and pressure were systematically varied to manipulate coating morphology and composition. After growth, the deposition chamber was back-filled with air at a relative-humidity of 35% while stress in the coating was monitored in real-time with a laser-based wafer-curvature measurement system. The stress evolution during these experiments will be used to understand mechanisms of water-interaction with the coating and discussed in the context of existing models of water incorporation in thin films.
TF-MoP-9 Deposition of Thin Films on Inclined Surfaces Using Ionized PVD
M. Lattemann, J. Alami, J. Böhlmark, U. Helmersson (Linköping University, Sweden)
Titanium thin films were deposited, using a highly ionized flux of deposition material, onto substrates mounted at different angles (γ = 0, 45, 70, 90, 135, and 180°) with respect to the sputtering source. The results show that dense films were obtained independent of film orientation. In comparison films grown with conventional d.c. magnetron sputtering showed films of low density for γ= 90° and higher angles. The deposition rate decreased in both cases with increasing angle γ, but less so for the ionized deposition flux. The deposition rate, 5 mm from the edge of the sample, was reduced to 66 and 25% at γ = 90° and γ = 180°, respectively, in the case of ionized flux. This can be compared with less than 30% for γ = 90° in case of the non-ionized flux. The ionized-PVD technique used was high power pulsed magnetron sputtering (HPPMS) that is estimated to give an ionization degree of up to 70%. By applying a negative substrate bias the metal ions were attracted to the substrate surface independently of the inclination angle. The energetic ionized deposition flux leads to an effective transfer of kinetic energy to the surface which is the reason for the densification of the films grown using HPPMS. Due to its ease of use, the HPPMS technique is believed to have prospect of becoming an important technique for deposition of, e.g., hard coatings and corrosion resisting coatings onto substrates of complex shapes.
TF-MoP-10 TCO Thin Film Characteristics with Respect to Multi Layer Coatings between Plastic Substrate and ITO Layer
M.G. Kim, H.S. Jeong, Y.W. Seo (ITM Inc., Korea); S.J. Kwon (Kyungwon Univ., Korea)
Recently organic electro luminescence displays(OELD) using a plastic substrate such as PET and PES are emerging as a strong new candidate for flat panel displays. In this study we made the buffer layer which is multi-layer coatings such as (DLC/SiO2)n between plastic substrate and transparent conducting oxide(TCO). To minimize the oxygen transmission and the water vapor transmission of the TCO coated substrate, we also designed the thicknesses of multi-layer for high visible transmittance using optical thin film software. The DLC is characterized by strong hardness, flatness and low gas permeability to moisture and oxygen. The unipolar pulse mode of the power supply(IBP1030, ITM, Inc.) was used on a graphite target, and the mixed gas were argon and methane. ITO was deposited on the buffer layer of the plastic substrate in a horizontal single ended in-line sputter system equipped with a pulsed dual magnetron sputter. To understand the properties of the ITO films deposited on the multi-layered buffer layer, we investigated the electrical resistivity, film structure, optical transmission, surface roughness, and gas permeability.
TF-MoP-11 Photoluminescence Behaviors of Eu-doped Sr2SiO4 Thin Film Phosphors Deposited by Pulsed Laser Deposition
S.S. Yi (Silla University, Korea); J.S. Bae, J.H. Jeong (Pukyong National University, Korea); J.H. Kim (Dongeui University, Korea); H. Park (Yonsei University, Korea)
Sr2SiO4:Eu thin film phosphors were deposited on Al2O3 (0001) substrates by pulsed laser deposition. The Sr2SiO4:Eu thin films were grown at the various substrate temperatures and the different oxygen pressures. The crystallinity and surface morphology of the films were investigated using X-ray diffraction and atomic force microscope, respectively. And the photoluminescence spectra were measured at room temperature using a luminescence spectrometer and excitation by a broadband incoherent ultraviolet light source with a dominant excitation wavelength of 254 nm. The crystallinity and surface morphology of the films were influenced by the deposition conditions. It was found that the luminescence of the Sr2SiO4:Eu films is highly dependent on the crystallinity and surface roughness of the films. The Eu-doped Sr2SiO4 film shows two emission bands of 577 and 625 nm.
TF-MoP-12 Luminescence Characteristics of Eu-doped GdVO4 Thin Films Grown by Pulsed Laser Deposition
J.H. Jeong, J.S. Bae, B.K. Moon, H.J. Seo (Pukyong National University, Korea); S.S. Yi (Silla University, Korea)
GdVO4:Eu3+ thin film phosphors were deposited on Al2O3 (0001) substrates by pulsed laser deposition. The films were grown at the various substrate temperatures and the different oxygen pressures. The crystallinity and surface morphology of the films were investigated using X-ray diffraction and atomic force microscope, respectively. And the photoluminescence spectra were measured at room temperature using a luminescence spectrometer and excitation by a broadband incoherent ultraviolet light source with a dominant excitation wavelength of 254 nm. The photoluminescence brightness data obtained from GdVO4:Eu3+ films grown under optimized conditions have indicated that sapphire is a good substrate for the growth of high quality GdVO4:Eu3+ thin film red phosphor. Due to a 5D0-7F2 transition within europium, GdVO4:Eu3+ shows red luminescence at 612 nm. The crystallinity and surface morphology of the films were influenced by the deposition conditions. It was found that the luminescence of the GdVO4:Eu3+ films is highly dependent on the crystallinity and surface roughness of the films.
TF-MoP-13 Preparation of Transparent and Conductive Multicomponent Zn-In-Sn Oxide Thin Films by Vacuum Arc Plasma Evaporation
T. Minami, S. Tsukada, Y. Minamino, T. Miyata (Kanazawa Institute of Technology, Japan)
A newly developed vacuum arc plasma evaporation (VAPE) method has recently attracted much attention for its high rate deposition of low-resistivity transparent conducting oxide (TCO) thin films on large area substrates. TCO thin films such as Ga- or F-doped ZnO and ITO were prepared by the VAPE method with resistivities on the order of 10-4Ωcm. In this paper, we describe the preparation of transparent and conductive multicomponent Zn-In-Sn oxide thin films. Multicomponent Zn-In-Sn oxide fragments prepared by sintering a mixture of ZnO, In2O3 and SnO2 powders were used as the target. The film depositions were carried out under the following conditions: substrate, large area glass; substrate temperature, RT to 350°C; pressure, 0.08 to 1 Pa; Ar and O2 gas flow rates, 20 and 0 to 20 sccm; and cathode plasma power, 3.5 to 10 kW. Highly transparent and conductive multicomponent TCO thin films could be prepared by varying the composition of ZnO-In2O3, In2O3-SnO2 and ZnO-SnO2 fragment targets. It was also found that the metal content in the deposited films was approximately equal to that of the target used. In addition, a deposition rate above 100 nm/min as well as uniform distributions of resistivity and thickness on the substrate surface were obtained. Resistivities of 10-4 to 10-3Ωcm and average transmittances above 85% in the visible range were obtained in the ZnO-In2O3, In2O3-SnO2 and ZnO-SnO2 thin films deposited by varying the composition (metal content). In conclusion, approximately the same electrical and optical properties that had been previously reported in multicomponent Zn-In-Sn oxide thin films prepared by magnetron sputtering have now also been obtained using the VAPE method.
TF-MoP-14 Cathodic Vacuum Arc Deposition of MgO Thin Films on the Large Area Glass Substrate
L. Sunghun, B. Sung-Kyu, K. Jong-Kuk, L. Gun-Hwan (Korea Institute of Machinery and Materials, Korea)
It is well known that MgO film is used as the protective layer in AC-plasma display panels(AC-PDPs). Until now, e-beam evaporation and reactive magnetron sputtering method has been mainly adopted to produce MgO protective layer over the electrodes on the front glass panel of the PDP. However, there are still some problems such as the higher sputtering rate at e-beam method and the lower growth rate at magnetron sputtering method. In this work, cathodic vacuum arc deposition was introduced to produce MgO films on large area glass substrate, which shows higher deposition rates and the large number of ionized particles and high ion energy. Magnesium was used as a source material and oxygen was introduced as a reactive gas. We have investigated (1) appropriate shape of target for large area coating with one rectangular Mg target with size of 300 x 90 mm and two circular Mg target with diameter of 75 mm and (2) stable arc operating condition such as arrangement of magnet, magnet field strength, arc current and introduction method of oxygen gas. It was concluded that the rectangular type is not suitable for large area coating because of it's low mass utilization efficiency and the stable arc operating condition for circular Mg target . A properly designed arc source module with multiple circular Mg target for MgO protective layer with good quality will be presented.
TF-MoP-15 Chemical Mechanical Polishing Characteristics of SnO2 Thin Film for Gas Sensor Application
Y.J. Seo (DAEBUL University, South Korea); K.W. Choi, W.S. Lee (Chosun University, South Korea)
The metal oxide has been increasing interest for application of advanced technology. Of these oxides, tin dioxide (SnO2) is of interest as an oxidation catalyst, gas sensor material, thin film micro-battery, and transparent conductor. For the application of tin oxide films, the controls of microstructure and surface morphology are required because the electrical and optical properties are dependent on them. Although there have been many reports on the formation or smoothing of hillocks of the thin films, those works still report lack consistency. Hillocks on thin film surfaces deteriorate light reflection, ultra large-scale integration (ULSI) pattern resolution, and device performance because they are dependent on surface morphology or roughness. A chemical mechanical polishing (CMP) process is a useful method for removing sub-microscale hillocks. Therefore, an understanding and control of microstructure and surface morphology are required for the advanced application of tin oxide films. In this paper, we report the CMP effects on the variation of morphology for tin oxide films prepared by RF sputtering system. In order to compare the polishing characteristics of SnO2 thin film, we investigated the CMP removal rate (RR) and within-wafer non-uniformity (WIWNU%), particle size distribution, and the microstructures of surface and cross-sectional layer by atomic force microscopy (AFM) analysis. This work was supported by a Korea Research Foundation grant (KRF-2002-005-D00011).
TF-MoP-16 Defect Study Post the Tungsten Etch Back Process Step
A. Sidhwa, J. Zhang, V. Varadarjan, C. Li, B. Le, G. Magsamen (STMicroelectronics, Inc.)
The tungsten etch back defects generated during the process with their relations to the wafer yield is studied in this paper. By understanding these defects the wafer yields can be improved and one can achieve maximum tool up time. For the tungsten etch-back (WEB) process, two kinds of processes are widely used in the manufacturing world: One is the pattern tungsten etch and the other is the blanket tungsten etch back. The tungsten etch back can either stop on titanium nitride (SOT) or stop on the oxide (SOX). This paper mainly focuses on the SOT process for the tungsten etch-back defects. During the Fluorine (F-) etch process; the F- ions from SF6 gas will etch the Tungsten (W) film at a faster rate as compared to the Titanium Nitride (TiN) film. Some of the TiN film will still be etched during the Tungsten Etch Back step. The by-products generated during the etch back step is in the form of titanium fluoride (TiFx). Sometimes the residual etch by-products are not completely pumped out of the chamber. The residual etch by-products may re-deposit on the chamber hardware which consists of the Aluminum and Aluminum Oxide parts. The residuals may react with chamber hardware generating TixAlx defects. In addition other defects are generated in the etch-back chamber. This paper describes a new kind of C, O, F, and Ti based particles observed after the tungsten etch back step at via levels. These defects were generated due to poor via cleaning process step which leaves the EKC residuals on the wafer. The residual defects on the wafers were hard to catch after via cleaning and after the Via Liner deposition process step. These residual defects would re-appear after the WEB process step. The mechanism of the formation of these defects is discussed in this paper along with method and implementation processes to reduce these defects.
TF-MoP-17 Fabrication of Well-defined Cu Nanodots Based on an Electroless Plating using Diblock Copolymer Nanotemplate
S. Asakura (Waseda University, Japan); A. Hozumi (National Institute of Advanced Industrial Science and Technology, Japan); K. Oda, A. Fuwa (Waseda University, Japan)
Increasing attention has recently been paid to the fabrication of copper (Cu) arrays of nm-scale in order to fabricate electronic devices. Among the several methods, the use of a diblock copolymer (DBC) thin film is promising, since an ordered nanostructure can be easily obtained by chemical or physical treatment. Here we report the fabrication of cylindrical Cu nanostructures onto a SiO2/Si substrate through an electroless plating using a DBC thin film as a template. First, a polystyrene (PS, 70 wt.%)/Poly(methylmethacrylate) (PMMA, 30 wt.%) DBC was spin-coated on the SiO2/Si surface from a 3 wt.% in toluene. After dried in air for 24 h, it was annealed in a vacuum oven for 24 h at a temperature of 170 °C. Due to this treatment, the PMMA component formed cylindrical domains in a PS matrix. Subsequently, the sample was photoirradiated with vacuum ultraviolet (VUV) light of 172 nm in wavelength for 15 min at 103 Pa to decompose PMMA predominantly utilizing the difference in etching rate between PS and PMMA domains. Amino-terminated self-assembled monolayer (SAM) was absorbed onto the whole area of the substrate by chemical vapor deposition method using n-(6-aminohexyl)aminopropyltrimethoxysilane (AHAPS) as a precursor. After that, the substrate was sonicated in toluene and then rinsed with Milli-Q water. Finally, the substrate covered with the AHAPS-SAM was immersed into a PdCl2 solution followed by treated in an electroless plating bath to deposit Cu selectively. Using an atomic force microscopy, we confirmed that the Cu deposition selectively occurred inside the cylindrical nanopores in which the PMMA domains were photochemically eliminated. This clearly indicates that Pd catalysts were tightly immobilized selectively on the nanopores even after sonication in toluene due to the existence of AHAPS-SAM. Cu nanodods with a diameter and a periodicity of about 20 and 30 nm were successfully fabricated on the 10 x 10 mm2 region.
TF-MoP-19 Observation of Plastic Deformation in TIALCN/A-C Ceramic Nanocomposite Coating
J. Shieh (National Nano Device Laboratory, Taiwan); M.-H. Hon (National Cheng Kung University, Taiwan)
Titanium aluminum nitride, a metastable refractory ceramic coating, is widely used for improving tribological properties of cutting tools due to the excellent hardness and oxidation resistance.1 However, brittleness is a great handicap for ceramics in their technological applications since dislocations cannot move rapidly to allow for plastic deformation. Thus we added amorphous carbon into the grain boundary of nanograins to improve the coating plasticity. Extensive plastic deformation of titanium aluminum carbonitride/amorphous nanocomposite coating at room temperature was observed in this study. Nanoindentation measurement showed that the coating hardness was 24.4 GPa with the plasticity of 57.6 percent. The critical load of 1.3 µm coating in scratch test was beyond 100 N. The depth of the 100 N scratch track identified by microstylus profilometer was 6.7 µm, while the thickness of the coating in the center of the scratch track observed by scanning electron microscopy was 0.4 µm, which is only about one third of that for the as-deposited coating. The amorphous carbon with sp2 hybrid and π-bonding is proposed to account for this unique plasticity.


1 S. PalDey and S.C. Deevi, Mat. Sci. Eng. A 342, 58 (2003).

TF-MoP-20 Strained Si n-channel Metal-oxide-semiconductor Transistor on Relaxed SiGe Film with an Intermediate Si:C Layer
S.-W. Lee (National Tsing Hua University, Taiwan); P.S. Chen (Industrial Technology Research Institute, Taiwan); Y.L. Chieh (National Tsing Hua University, Taiwan); M.-J. Tsai, C.W. Liu (Industrial Technology Research Institute, Taiwan); L.J. Chen (National Tsing Hua University, Taiwan)
An intermediate Si:C layer in the SiGe film, replacing the conventionally graded buffer layer, was used to form the high-quality relaxed SiGe substrate. With the 700-nm-thick SiGe overlayer, such a SiGe/Si:C/SiGe heterostructure has a threading dislocation density of 5.5x105 cm-2 and a degree of strain relaxation of 98%. From the TEM observation, the numerous misfit dislocations were formed and confined at the top interface of SiGe/Si:C, maintaining a defect-free SiGe overlayer. Strained-Si n-channel metal-oxide-semiconductor transistors using this relaxed SiGe substrate were fabricated and their characteristics were examined. The effective mobility of the strained-Si device exhibits an enhancement of roughly 90% over that of Si control device at a given effective field. This work provides a simple method for the formation of strained Si layers.
TF-MoP-21 Gas Barrier Properties of the Composite Films Consisting of SiO2 and SnO2
W.H. Koo, S.M. Jeong, S.H. Choi, H.K. Baik (Yonsei University, Korea); S.M. Lee (Kangwon National University, Korea); S.J. Lee (Kyungsung University, Korea)
Composite thin films consisting of silicon oxide and tin oxide have been deposited on polycarbonate substrates as gas barrier films, using a thermal evaporation process in oxygen gas environment. Water vapor permeation through gas barrier films are significantly affected by the chemical interaction of water vapor with oxide films and the microstructure of the oxide films. The chemical interaction of water vapor with oxide films has been investigated by the refractive index from ellipsometry and OH group peak from x-ray photoelectron spectroscopy, and the microstructure of the composite oxide films characterized using x-ray diffraction, atomic force microscopy and transmission electron microscopy. As the tin oxide is added to the silicon oxide, the refractive index and OH group peak intensity of the composite films increase, and water vapor transmission rate through the composite oxide films shows the lower value than that through the sigle element oxide films such as tin oxide and silicon oxide. The results are discussed in terms of the chemical interaction with water vapor and microstructure of the oxide films.
TF-MoP-22 Ultra High Resolution Tomographic Reconstruction Using Scanning Electron Microscope and Focused Ion Beam
R.K. Bansal, R.V. Hull, J.M. Fitz-Gerald (University of Virginia)
The ever shrinking device dimensions in the semiconductor industry and the advent of nanotechnology has necessitated the developments of new characterization techniques which can work at smaller length scales with high precision. The present study aims at demonstrating a tomographic reconstruction technique capable of reproducing the morphology and chemical structure of a material with a sub-10nm resolution. This has been achieved by serial sectioning of the material using focused ion beam (FIB) and subsequent imaging using a high resolution field emission scanning electron microscope (FE SEM). This process was repeated to obtain a series of two dimensional cross sectional images. These images are then concatenated in the computer and interpolated into three dimensional space to asses and visualize the structure of the material. Multilayered structure of alternating 14nm thick Si-Ge/Si layers was reconstructed using linear interpolation of slices while a slightly more complex shape based interpolation routine was utilized to reconstruct θ' Al2Cu precipitates in Al matrix. As expected, the precipitates were found to be 10-20nm thick plates oriented along the orthogonal (100) directions even though this was not apparent from individual slices. High resolution alignment procedures were applied using FIB milled trenches and their profile was verified using cross sectional TEM. These trenches were also used to accurately predict the distance between individual slices. This is especially important as drift during milling in FIB often results in unequally spaced slices. Interpolation and visualization was performed using routines developed in MATLAB environment.
TF-MoP-23 Deposition of Ti(C,N) AND Zr(C,N) Thin Films by Plasma Assisted MOCVD and In-Situ Plasma Diagnostics with Optical Emission Spectroscopy
J.-H. Boo, S.W. Lee, Y.K. Cho, J.G. Han (Sungkyunkwan University, South Korea)
Ti(C,N), Zr(C,N) films are synthesized by pulsed D.C. plasma assisted metalorganic chemical vapor deposition (PA-MOCVD) using metal-organic compounds of tetrakis diethylamido titanium and tetrakis diethylamido zirconium at 200 °C to 300 °C. H2 and He+H2 gases are used as carrier gases to compare plasma parameter. The effect of N2 and NH3 gases as reactive gas is also evaluated in reduction of C content of the films. Radical formation and ionization behaviors in plasma are analyzed by optical emission spectroscopy (OES) at various pulsed bias and gases conditions. He and H2 mixture as carrier gas is very effective in enhancing ionization of radicals, especially N2 resulting is high hardness. However, NH2 as reactive gas highly reduces formation of CN radical, there by decreasing C content of Ti(C,N) and Zr(C,N) films in a great deal. The hardness of film is obtained to be 1400 HK to 1700 HK depending on gas species used and bias voltage. Higher hardness can be obtained for H2 and N2 gas atmosphere and bias voltage of 600 V. Plasma surface cleaning using N2 gas prior to deposition appear to increases adhesion of films on cold forming steel. The changes of plasma including radicals and film properties are illustrated in terms of carrier and reactive gases as well as pulsed power variation.
TF-MoP-24 In-situ Characterization of HfO2 and AlN Films on SiC
C.M. Tanner, J. Choi, J.P. Chang (University of California, Los Angeles)
High dielectric constant materials are promising gate oxide materials for future generations of metal-oxide-semiconductor field effect transistor (MOSFETs). HfO2 has a high dielectric constant and excellent thermal stability, indicating its suitability for high-power and high-temperature applications, especially when wide band gap semiconductors such as SiC are used as the substrate. Due to its close lattice matching with SiC, AlN is generally grown as a single crystalline layer on SiC and may provide an effective buffer layer between SiC and HfO2. In addition, the AlN/SiC band offsets are quite symmetric, providing the needed barrier heights for an effective device operation. In this work, HfO2 and AlN films were synthesized using atomic layer deposition (ALD) under high-vacuum conditions. Stacked HfO2/AlN films were also grown on SiC in addition to the individual dielectric layers on SiC. The substrate temperature was controlled by infrared heating with a quartz tungsten halogen lamp. Hafnium tetra-tert-butoxide and oxygen were used to deposit HfO2, while trimethylaluminum and ammonia were used to deposit AlN. Alternating pulses of chemicals were sequentially introduced into the reactor while film growth was monitored by in-situ reflection high-energy electron diffraction (RHEED) to study the surface structure. In-situ X-ray photoelectron spectroscopy (XPS) was used to study film composition and chemical bonding at the interface. The deposition kinetics of HfO2 and AlN films were studied at various substrate temperatures and precursor doses. Film thickness and uniformity were determined by spectroscopic ellipsometry and confirmed with XPS. The microstructure of the interfaces of HfO2/AlN/SiC stacked films was characterized using transmission electron microscopy (TEM).
TF-MoP-25 Conduction Anisotropy in Nanostructured Titanium Films
D.W. Vick, M.J. Brett (University of Alberta, Canada)
Considerable control over the microstructure of evaporated thin films has been demonstrated using dynamic control of the vapour incident angle (α) and the azimuthal orientation of the substrate during the deposition process.1 In the present study, advanced substrate motion is used to engineer the electrical properties of metallic films, and an attempt is made to relate measured electrical conductivity with observed film microstructure. Films with and without structural anisotropy will be included in the study. The regime of glancing angle deposition (GLAD) conditions (α ≥ 80°) is of particular interest to us. Under such conditions, significant voiding in the films results in a microstructure of isolated metallic islands whose mean size, shape, and separation are to some extent controllable. The experimental films consist of layers of Ti (nominal thickness 200 nm) deposited over barrier layers of SiO2. Standard lithographic techniques are used to create arc-shaped tracks of 1 mm width, in a manner similar to that reported by Kuwahara and Hirota.2 Metalization contacts and bonded wires are then added to the wafers, permitting measurement of electrical conductivity of the films and determination of the conduction anisotropy in the plane of the films. Preliminary results show that the ratio of conductivities along the two principal directions in the films can easily exceed a value of 7. Scanning electron microscope images that reveal the microstructure of the films will be presented together with conductivity measurements. Experimental results will be compared with the predictions of standard models of electrical conduction in discontinuous media.


1 K. Robbie, J. C. Sit, and M. J. Brett, J. Vac. Sci. Technol. B 16, 1115 (1998).
2 K. Kuwahara and H. Hirota, Jap. J. Appl. Phys. 13, 1093 (1974).

TF-MoP-26 Monolayer Template Patterning of Ceramic Film on Flexible Plastic Substrate
N. Shirahata, Y. Sakka (National Institute of Materials Science, Japan); A. Hozumi (National Institute of Advanced Industrial Science and Technology, Japan)
Microfabrication technique for metal oxide film on polymeric sheet has been demonstrated to develop flexible device technology. A polyimide (PI) sheet was employed as a polymeric substrate. Oxide nanoskin (ONS) interlayer (~ 2.1 nm) was formed onto the photooxidized PI (PIox) substrate by photochemical modification of the triethoxysilane layer, which was previously covered over the substrate through chemical vapor deposition process. 1H,1H,2H,2H-perfluorodecyltrimethoxysilane (FAS) molecule was used to prepare a microstructured chemical template for area-selective deposition of metal oxide films onto the predefined sites of the PIox surface. The film deposition was performed in aqueous solution of tin chloride based on under ambient air an environmental-friendly system. The ONS interlayer has played a key role through the microfabrication process. First, the ONS was indispensable to prepare a superior hydrophobic PI surface where highly ordered FAS molecules were densely formed. Second, the ONS enabled us to prepare an ideal FAS chemical template for the area-selective deposition of metal oxide film. Without the ONS interlayer, the reproducible results could not be obtained for the microfabrication. Additionally, on the ideal chemical template, thickness of the microfabricated films was well controllable in the ranging from nanoscale to microscale with keeping a perfect area-selectively. In this paper, the essential roles of ONS interlayer on both the preparation of high-quality chemical template and the reproducible microfabrication of metal oxide film, i.e., SnOx film, have been discussed in details.
TF-MoP-27 Comparison of the Agglomeration Behavior of Thin Metallic Films on SiO2
P.R. Gadkari, A.P. Warren, R.M. Todi, T. Cubano-Cruz, K.R. Coffey (University of Central Florida)
The preparation of continuous thin films of metals on insulating oxide surfaces is of interest to applications, such as semiconductor interconnections, as well as fundamental studies of transport in thin films. Noble and near-noble metals tend not to wet amorphous oxide surfaces and thus the equilibrium shape for the deposited metal is an isolated island on the substrate surface. For sufficiently thick films and/or reduced surface mobility, continuous metallic films can be formed by non-equilibrium processing. However, the geometry of such films is unstable, and upon annealing they can agglomerate and again form isolated islands on substrate surface. In this work we report the study of the formation of voids and agglomeration of initially continuous Ru, Pt, Cu and Au thin films deposited on amorphous thermally grown SiO2 surfaces. Polycrystalline thin films having thicknesses in the range of 5nm to 100nm were UHV sputter deposited on thermally grown SiO2 surfaces. The films were annealed at temperatures in the range of 150°C to 800°C in argon and argon+3% hydrogen gases. Scanning electron microscopy was used to investigate the agglomeration behavior and transmission electron microscopy was used to characterize the microstructure of the as-deposited and annealed films. The agglomeration of Ru and Cu films was observed to follow a grain boundary grooving process, whereas fractal growth of voids was observed in Pt and Au films. It is also observed that the tendency for agglomeration can be reduced by encapsulating the metal film with an oxide overlayer. Lastly, the variation of agglomeration with annealing temperature, gas ambient and film thickness is described.
TF-MoP-28 Effect of a Buffer Layer on the Photovoltaic Properties of AZO/Cu2O Solar Cells
T. Minami (Kanazawa Institute of Technology, Japan); H. Tanaka (Gunze Limited, Japan); T. Shimakawa, T. Miyata (Kanazawa Institute of Technology, Japan); H. Sato (Gunze Limited, Japan)
We have reported recently that high energy conversion efficiencies above 1% were obtained in Al-doped ZnO (AZO)/Cu2O heterojunction solar cells fabricated by depositing transparent conducting AZO thin films on Cu2O sheets. However, it is well known that a Cu metal thin film can easily be created at the interface by reducing the Cu2O; therefore, the thin film deposition method and conditions are important considerations. In this paper, we describe the effect of a buffer layer and/or interface region on the photovoltaic properties of transparent conducting oxide (TCO)/Cu2O solar cells fabricated with TCO thin films prepared by various deposition methods; we fabricated devices by depositing TCO films on Cu2O sheets that had been exposed to various surface treatments or that had various thin films such as ZnO deposited as a buffer layer. The polycrystalline Cu2O sheets (thickness of approximately 0.2 mm), prepared by heat treatment of Cu sheets (purity of 99.9%), functioned as the active layer as well as the substrate in the photovoltaic devices. Hall mobility in the resulting p-type semiconducting Cu2O sheets was typically above 90 cm2/Vs. The TCO and buffer layer thin films were deposited by pulsed laser deposition, d.c. or r.f. magnetron sputtering. The obtained dark current-voltage and photovoltaic properties in the devices were considerably affected by both the Cu2O surface treatments and the introduced buffer layers. In addition, these properties were dependent on not only the method used in the TCO and buffer layer thin film depositions but also the deposition conditions. For example, the rectifying characteristics and the photovoltaic properties such as conversion efficiency and fill factor were found to improve in devices incorporating an undoped ZnO thin-film buffer layer. These obtained properties are mainly related to the work function and crystallinity of the deposited thin films as well as the crystallinity of the Cu2O sheets.}
TF-MoP-29 Microstructural Evolution and Properties of the AlN Thin Films prepared under Different Processing Parameters
C.-C. Wang (National Chung Hsing University, Taiwan); M.H. Shiao (National Science Council, Taiwan); C.-J. Lu, F.S. Shieu (National Chung Hsing University, Taiwan)
Polycrystalline aluminum nitride (AlN) thin films with wurtzite structure were deposited on silicon and glass substrates by an unbalanced magnetron (UBM) sputtering system equipped with a pulse dc power supply. Microstructure and chemistry of the AlN-coated substrates under different pulse power and deposition time were characterized by X-ray diffraction (XRD), field-emission scanning electron microscopy (FE-SEM), transmission electron microscopy (TEM), energy dispersive spectrometer (EDS) and atomic force microscopy (AFM). Optical transmission property of the AlN-coated glass was investigated by an UV/VIS spectrophotometer. XRD results show that the thin films exhibit enhanced (002) preferred orientation at higher pulse power and longer deposition time. It is also obtained that the AlN films have a columnar structure and that the size of the columns increases with the distance from the substrate and the deposition time, as revealed by FE-SEM and TEM. AFM analysis indicates that the surface roughness of the coatings increases with the pulse power and the deposition time. Furthermore, EDS analysis gives the chemical composition of the coatings as well as the percentage content of the elements. In addition, the energy band gap of the AlN films was evaluated to be 3.8-4.2 eV from the optical transmission spectra.
TF-MoP-30 AFM and SNOM Characterization of Carboxylic Acid Terminated Silicon and Silicon Nitride Surfaces
A. Cricenti (National Research Council, Italy); R. Generosi, M. Girasole (CNR, Italy); G. Margaritondo (EPFL, Switzerland); P. Thielen (Naval Research Laboratory); D. Vobornik (EPFL, Switzerland); J.S. Sanghera, I.D. Aggarwal (Naval Research Laboratory); N.H. Tolk, D.W. Piston (Vanderbilt University); A. Flamini, T. Prosperi, F. Cattaruzza, A. Mezzi, P. Perfetti, G. Ustione, A. Ustione (CNR, Italy)
Silicon and Silicon Nitride Surfaces have been successfully terminated with Carboxylic Acid monolayers and investigated by Atomic Force Microscopy (AFM) and Scanning Near-field Optical Microscopy (SNOM). On clean Si surface, AFM showed topographical variations of 0.3-0.4 nm while for the clean Si3N4 surface the corrugation was around 3-4 nm. After material deposition, the corrugation increased in both samples with a value in topography of 1-2 nm for Si and 5-6 nm for Si3N4. The space distribution of specific chemical species was obtained by taking SNOM reflectivity at several infrared wavelengths corresponding to stretch absorption bands of the material. The SNOM images showed a constant contribution in the local reflectance, suggesting that the two surfaces were uniformly covered.
TF-MoP-31 Relationship of Microstructure and Discharge Characteristics of MgO Protecting Layer of AC-PDPs
S.Y. Park, M.J. Lee, S.H. Moon, S.G. Kim, H.J. Kim (Seoul National University, South Korea)
MgO thin film is widely used as a protecting layer of the dielectric layer for alternating current plasma display panels(AC-PDPs) due to improvement of the panelâ?Ts discharge characteristics and lifetime. The discharge characteristics of AC-PDPs are critically dependent on the microstructure of MgO films; crystalline, surface morphology, and so on. We had focused on the relationship between microstructure of MgO protecting layer and discharge characteristics of AC-PDPs. MgO thin film was deposited with different E-beam evaporation source type; single crystal, melting, sintering, and powder. MgO thin film deposited with melting source had the highest density and peak intensity of (100) preferred orientation, but the film deposited with powder source had the lowest density, bimodal grain size distribution and oriented to (111) plane direction. It was due to the mobility of the adatom on the surface of growing MgO film. The variation of MgO source type led to change the various microstructures of MgO thin films in the same deposition condition, finally, it affected the discharge characteristics.
TF-MoP-32 Thin Film Deposition of SiO2 Using Electron-Beam Generated Plasmas
D. Leonhardt, S.G. Walton (Naval Research Laboratory); C. Muratore (ASEE/NRL Research Fellow); R.A. Meger (Naval Research Laboratory)
The deposition of thin films of SiO2 is an integral part of silicon and organic based electronics for flexible, large area applications such as flat panel displays, phased radar arrays and architectural lighting. The SiO2 layer is the primary (high-k) dielectric of the device and must be uniform and defect free over large areas. Typical plasma-based deposition technologies that are presently used have limitations in both the quality of material being deposited and the uniformity over large (square meter) areas. NRL has been using electron beam-generated plasmas for a wide variety of applications [1], including the etching of silicon and polymeric material. These plasmas offer tremendous scaling and uniformity capabilities and possess extremely low electron temperatures. The inherent low electron temperature results in low plasma fields and potentials, which in turn provides low energy (< 3 eV) ions to the substrate. Mixtures of TEOS and O2 were used to grow SiO2 films in modulated electron beam generated plasmas. The film electrical and optical properties with respect to gas mixtures, substrate type, temperature and ion energy will be presented. Fluxes to the substrate determined by mass spectrometry measurements will be correlated to these process variables and final film quality. Together with the previous etching work, electron beam generated plasmas may provide the critical dry processing necessary for flexible, large area electronics. Work supported by the Office of Naval Research.


1 See presentations by these authors at this and previous AVS symposia.

Time Period MoP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2004 Schedule