AVS2004 Session PS1-TuM: Dielectric Etching

Tuesday, November 16, 2004 8:20 AM in Room 213A

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2004 Schedule

Start Invited? Item
8:20 AM PS1-TuM-1 Polymer Formation in Fluorocarbon Etch Plasmas
B. Ji, S. Dheandhanoo, S.A. Motika, P.R. Badowski, J.R. Stets, E.J. Karwacki (Air Products and Chemicals, Inc.)
Recent investigations have shown fluorocarbon (FC) polymers play several key roles in dielectric etch plasmas. A steady state FC film is a key ingredient for etching silicon under ion bombardment. For anisotropic dielectric etching, FC films protect the photoresist mask and passivate the feature sidewalls. In recent years, the semiconductor industry have adopted heavier molecular weight and lower fluorine to carbon ratio gases, such as c-C4F8, C5F8, and C4F6 for anisotropic dielectric etching. In this study, we investigate the correlation between fluorocarbon molecular structure and FC film property. We employ mass spectrometry to determine electron impact fragmentation patterns of various fluorocarbon molecules. We use Fourier transform infrared spectroscopy and x-ray photoelectron spectroscopy to characterize the FC polymer structure. We investigate a series of novel dielectric etch gases such as c-C4F8, C4F8O, C3F6, C3F6O, C5F8, C4F6, and C6F6 etc. The results demonstrate that FC film properties depend not only on the atomic fluorine to carbon ratios, but also on the bonding structures in the molecules. Finally, we correlate the molecular fragmentation patterns and FC film properties to anisotropic dielectric etch performances of various gases.
8:40 AM PS1-TuM-2 Reduction of Line Edge Roughness for 90nm Technology Node for Contact and Trench Etched Features
D. Farber, W. Dostalik, B. Goodlin, R. Kraft, T. Lii (Texas Instruments)
For the 90nm technology node, methods for reducing line edge roughness (LER) during dielectric etch are shown. Two particular, distinct cases are demonstrated here: 1) contact holes etched in phosphorus-doped glass (PSG), and 2) trench lines in organo-silicate glass (OSG) low-K dielectric for damascene Cu interconnect. For both cases, an etch strategy is developed to deal with the inherent roughness and granularity of 193nm photoresist while maintaining adequate CD control and resist thickness margin. Using an image processing technique, an effort is made to quantify the degree of LER associated with the processes.
9:00 AM PS1-TuM-3 Effect of Non-sinusoidal Bias Voltage Waveforms on Ion Energy Distributions and SiO2/Si Etch Selectivity in Fluorocarbon Plasmas1
A. Agarwal, M.J. Kushner (University of Illinois at Urbana-Champaign)
During plasma etching, ion energy distributions are typically coarsely controlled by varying the amplitude of a rf sinusoidal bias voltage and/or controlling the dc bias. The resulting ion energy distribution (IED) is often broad compared to the differences between threshold energies of surface processes. Controlling the width of the IED can potentially help maintain critical dimensions of features and improve selectivity. In high-plasma density, low gas pressure systems, sheaths are typically collisionless and so additional control over IEDs can be obtained by controlling the sheath voltage. In this regard, non-sinusoidal bias waveforms have been proposed as a means to tailor the IEDs.2,3 In this talk, we report on results from a computational investigation of IED control by using a tailored non-sinusoidal bias voltage waveform. A 2-dimensional plasma equipment model has been linked with a Monte Carlo feature profile model to assess the consequences of the resulting IEDs on the selectivity of etching SiO2 to Si in fluorocarbon ICP plasmas and capacitively coupled plasmas. The most stringent control over the IEDs is obtained at lower frequencies where sheath crossing times are short compared to the rf period and in gas mixtures where the masses of the ions are similar. Slightly more collisional plasmas (provided the sheath remains collisionless) also provide more stringent control by reducing the energy of ions entering the sheath proper.


1 Work supported by SRC and NSF.
2 S. B. Wang and A. E. Wendt, J. Vac. Sci. Technol. A, 19, 2425 (2001)
3 S. Rauf, J. Appl. Phys., 87, 7647 (2000).

9:20 AM PS1-TuM-4 Dielectric Processes Enhancements Using Multifrequency Sheath Modulation
S.C. Shannon, A.M. Paterson, T. Panagopoulos, D. Hoffman, J.P. Holland (Applied Materials, Inc.); D. Grimard (University of Michigan)
Dual frequency capacitive discharges have become the new standard in dielectric etch processing. Typically, frequencies are selected such that bulk plasma dissociation and plasma sheath dynamics can be independently controlled. Recently, dual frequency development has focused on the interaction of the two frequencies in the sheath surrounding the plasma. In this paper, a model is presented where a symetric discharge is driven by an RF current with multiple frequency components. The impact of these multifrequency sheath dynamics on ion energy distribution and the process tunability in dielectric etch processes using this technology is presented.
9:40 AM PS1-TuM-5 A Novel Etching Process Employing Pulse-Modulated Electron-Beam-Excited Plasma for Fabrication of Micro-Optical Devices
K. Takeda (Nagoya University, Japan); Y. Tomekawa, T. Ohta (Wakayama University, Japan); K. Yamakawa (Nagoya University, Japan); M. Ito (Wakayama University, Japan); M. Hori (Nagoya University, Japan)
Recently, micromachining of optical devices such as core lens on the edge of optical fiber attracts much attention. In this process, the fast atomic-beam etching (FABE) or the ion-beam etching (IBE) has been employed, since the conventional reactive plasma etching cannot be employed as the radio-frequency self-biasing is not efficiently supplied to the thick dielectric materials. However, the etch rates of FABE and IBE are typically around a few tens nm/min, which are very low compared with the reactive ion etching. Therefore, novel processes to realize the higher etch rate are strongly required to reduce the processing time. We have proposed a SiO2 etching employing an electron-beam-excited plasma (EBEP) for the fabrication of micro-optical devices. The dc-EBEP have realized the high etch rate without any additional bias power supply. However, it had problems such as the thermal damage of the photo resist, non etch-uniformity and instability of plasmas. In this study, we have developed a novel etching process for the fabrication of micro-optical devices employing a pulse-modulated EBEP and have investigated the etching characteristics. Plasma was generated at a total pressure of 0.27Pa and the fed gases were C4F8/Ar, a discharge current of 25A and an electron beam acceleration-voltage (VA) of 100V with a pulse-modulation frequency of 50kHz and 50% duty ratio. The pulse-modulated EBEP have been found to have a great potential to realize the high etch rate of 375nm/min with 0.75mm hole-pattern and improve some problems in the dc-EBEP. Moreover, from the results of the optical emission spectroscopy, F atom density was reduced with the decrease of the duty ratio of VA. Therefore, We performed the anisotropic SiO2 etching with a high rate by the ion assisted etching without any self-biasing power supply. Consequently, the micro-fabrication of core lens on the edge of optical fiber was successfully carried out.
10:00 AM PS1-TuM-6 Surface Kinetics Modeling for Silicon Oxide and OSG Etching in Fluorocarbon Plasmas
O. Kwon, B. Bai, H.H. Sawin (Massachusetts Institute of Technology)
Fluorocarbon plasma for silicon oxide or OSG etching is a complicated system involving many ion and neutral species. Depending on the plasma condition, many difficulties arise such as RIE lag, etch stop, and low selectivity to photoresist. For a better understanding of the process it is necessary to have an appropriate surface kinetics model which includes simultaneous etching and deposition. We have developed a novel surface kinetics modeling approach, Translating Mixed Layer (TML) model that is based on the assumption that the surface layer is a well-mixed region due to energetic ion bombardments. The mixed layer thickness is given the depth associated with ion bombardment, i.e. ~2.5 nm. The etching and deposition reactions are modeled based on the elemental composition of this layer using a lumped kinetic model. The lumped kinetic model was constructed and verified using measured oxide etching yield data determined by quartz crystal microbalance (QCM) in conjunction with plasma neutral and ion concentrations/fluxes determined by mass spectrometry of C2F6 and C4F8 discharges over broad ranges of process conditions. Etching chemistries with low atomic fluorine concentration (e.g. C4F8 chemistry) exhibit etching yields that are sensitive to compositional change in the plasma. In chemistries with high atomic fluorine concentration (e.g. C2F6 chemistry); however, the etching yield is less sensitive to compositional change in the plasma. Based on the measurements and the simulation, a lumped oxide etching surface kinetics model was constructed. In this model, adsorbed fluorocarbon species act as the etchant and the etching yield is determined by the composition of the surface layer. Atomic fluorine acts as a fluorocarbon etchant to control the etching yield. The model was also applied to OSG etching with fluorocarbon chemistry. The model successfully predicts the etching characteristics of OSG film.
10:20 AM PS1-TuM-7 Study on Self-aligned Contact Oxide Etching Using C5F8/O2/Ar and C5F8/O2/Ar/CH2F2 Plasma
S.B. Kim, D.G. Choi, D.S. Kim, Y.W. Song (Hynix Semiconductor Inc., Korea); C.I. Kim (Chung-ang University, Korea)
A self-aligned contact (SAC) technology is developed for application of electrical contacts between the local interconnection and the silicon diffusion regions (or plug pad) from 0.18µm device. The commercial memory devices have capping (or spacers) nitride (Si3N4) for protection of Word Line (or Bit Line) and nitride thin film layer for etching stop of SAC. Recently, the problems of SAC etch process in ULSI devices of sub 0.1µm-design rule are low selectivity to nitride and etching-stop due to high aspect ratio of contact hole. The key issue of SAC etch process is control of polymer generation. In this study, the characterizations of SAC oxide etching are investigated with C5F8/O2/Ar and C5F8/O2/Ar/CH2F2 plasma. As the mixing ratio of O2 on the C5F8/Ar/O2 increase, the amount of polymer decreases and the ability of contact etching increases, the effect CH2F2 addition on SAC oxide (SiO2) etching in C5F8/Ar/O2 is that etch rate of oxide in the contact hole increases about 7%, and the selectivity of oxide to nitride increase, specially that to nitride of contact bottom for SAC etch stopping increases. The selectivity of oxide to nitride increases with increasing of plasma source power or with decreasing of bias power. SAC patterned wafers were characterized using top-down critical dimension scanning electron microscopy (CD-SEM), cross-section SEM, and transmission electron microscopy (TEM). To analyze the effect of various parameters on the C5F8/Ar plasmas, we investigated the chemical species in the gas phase with an optical emission spectroscopy (OES). The components of polymer were investigated with transition electron microscopy - energy dispersive X-ray (TEM-EDX) and auger electron spectroscopy (AES). X-ray photoelectron spectroscopy (XPS) studies have analysis of real polymer topology on contact bottom and side wall.
10:40 AM PS1-TuM-8 Advanced Inductive Plasma Etcher for Low-k Materials and Oxide
G. Vinogradov, A. Kelly, V.M. Managarishvilil, Y. Hirano (FOI Corporation, Japan)
A new kind of ICP dielectric etcher has been tested on a variety of materials and patterns and showed produciton worthy results. There is presently only one type of commercial 300-mm equipment for advanced SiO2 dry etch: narrow-gap capacitive. Flat inductive plasma sources do not provide radial uniformity in narrow gap designs. An increase of the discharge gap (volume) improves uniformity but substantially increases gas residence time thus decreasing selectivity to photoresist. Another problem is instability of known flat ICP etchers due to capacitive sputtering of the dielectic plate separating ICP coils from plasma volumes. Flat inductive sources, therefore, were not yet successful for advanced oxide etch. A novel inductive narrow-gap 300-mm GroovyICPTM plasma etcher having substantially flat geometry for advanced etch of low-k materials (organic and inorganic) and oxide in one multiprocess chamber was developed and tested in production. It incorporates three mutually embedded geometrically separate and independently adjustable ring-shape inductive plasma sources designed as annular grooves in a flat roof made of silicon or ceramics. Every coil/plasma ring has independent RF power supply thus achieving controllability over the radial power distribution. Process results obtained with our 300-mm etchers will be presented in order to show principal features and exclusive controllability of the new plasma source and manufacturing tools.
11:20 AM PS1-TuM-10 Impact of Vibrational States on Dissociation in Fluorocarbon and Hydrogenated Fluorocarbon Plasmas
S. Adamson, K. Novoselov, A. Dement'ev, V. Kudrja (Soft-Tec, Russia); S. Rauf, P.L.G. Ventzek (Freescale Semiconductor)
Vibrational states of polyatomic molecules are known to have an important effect on the energy balance in plasmas and as such the plasma chemical kinetics. Less often considered is the impact of the population of vibrational states on individual processes associated with one species in which multiple vibrational states are often lumped. High density plasmas used for plasma processing may be characterized by relatively large gas temperatures (>700K) allowing for population of some low lying energy vibrational states. The presence of an ensemble of a few low lying energy states is significant as the cross-sections defining the transition to dissociation from states above ground may be quite different than those from ground. The dissociation processes associated with C4F6 and other CxHyFz plasmas are explored by treating the dissociation processes as bi-molecular using a diatomic model with the energies of the vibrational states being the states of the mode associated with the two pre-linked dissociating units. While not fundamental modes, they provide a means of exploring the scaling of electron impact reaction rates with temperature. Further they facilitate the exploration of assumptions built into simulations used to extract transport parameters for plasma process simulation (e.g., electron swarm simulations). In this presentation, the scaling of electron transport parameters and reaction rates for various electron impact processes with gas temperature and gas mixture are parameterized. These results are complemented with illustrative integrated equipment and feature scale simulations.
11:40 AM PS1-TuM-11 Measuring Macro- and Micro-loading Impact on Etch Bias
H.P. Stadniychuk (Cypress Semiconductor)
Ever smaller critical dimensions (CD) and tighter budgets call for minimization of device-to-device differences in the fab. While all devices typically share the same design rule for the targeted feature on any given layer, pattern density variation became a significant contribution to the observed CD variation. In this study pattern density effect on STI (Shallow Trench Isolation) - type etch was characterized on local (hundreds of microns) and global (wafer) scales while keeping pitch the same and ARDE (Aspect Ratio Dependent Etch)-effects constant. One the challenges were to determine what constitutes the local scale and how data can be collected without using special masks. It was found that etch bias is twice more sensitive to variation in local pattern density than to the global. Methodology for proper accounting pattern density-induced CD variation in process development as well as strategies for compensating these effects will be discussed.
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2004 Schedule