AVS2004 Session PS-MoP: Poster Session

Monday, November 15, 2004 5:00 PM in Room Exhibit Hall B

Monday Afternoon

Time Period MoP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2004 Schedule

PS-MoP-1 Photoresist Stripping after Low-k Dielectric Layer Pattering Using Axial Magnetic Field Assisted Reactive Ion Etching
H.-Y. Song, C.-W. Kim (Inha University, South Korea); J.-K. Yang, C.-W. Lee (PSK Tech Inc., South Korea); S.-G. Park, B.-H. O, S.-G. Lee, E.-H. Lee, D.H. Park, G.-J. Kim (Inha University, South Korea)
Effects of axial magnetic field added to the conventional Reactive Ion Etcher(RIE) are studied in terms of plasma characteristics and it is applied to photoresist strip process of dual damascene Cu/low k multi-level interconnection technology. Photoresist remaining on low k dielectric layer should be removed by anisotropic plasma in order to keep vertical profile of low k layers. The magnitude and direction of axial magnetic field can be controlled by the current to a pair of Helmholtz coils. Compared to the conventional RIE, It is found that strip rate is increased by more than 25 % from 1.0 µmm/min and its uniformity is also improved over 300 mm wafers. The effects of axial magnetic field in RIE are also investigated in terms of the selectivity of photoresist over SiOC-H dielectric films and the plasma damage of low k dielectric layers in O2/N2 plasma. The chemical structures of the low-k SiOC-H film are measured by FTIR spectrometer and the presence of the axial magnetic field is found to suppress any chemical changes of the films while providing wider process window.
PS-MoP-2 Etching Characteristics of Organic Polymers by Plasma Beam Irradiation
K. Kurihara (Toshiba Corp., Japan); A. Egami, M. Nakamura (Association of Super-Advanced Electronics Technologies, Japan)
Copper interconnection and low-k dielectric interlayer are promising technologies for reducing the RC delay of LSI devices. Organic polymers such as SiLK and GX-3 are one of the candidates of the low dielectric materials. The chemical formulas of these materials have not been clearly opened to the public due to company secrets. It is, therefore, difficult to forecast the characteristics of etching behavior based on the experience. It is important to investigate the relation between the etching behavior and the chemical formulas of organic material for understanding of the fundamental etching mechanisms. In this study, we prepared three kinds of organic films whose compositions were clearly identified. They were methacrylate polymer films, which were polytbutylmethacrylate (PtBuMA), polycyclohexylmethacrylate (PCHMA) and polybenzylmethacrylate (PBMA). The chemical formulas of these films have the same main chain with a different side chain. We investigated the etching characteristics such as etching yield (EY) using a plasma beam irradiation apparatus which can control the plasma parameters precisely. The etch yields of PCHMA and PBMA increased with increase in the ion energy and were saturated over around 330 eV. The etch yield of PtBuMA did not depend on the ion energy above 130 eV. These etch yield behaviors look like the other organic materials such as GX-3 and this can be the notable etching characteristics of organic films. Furthermore we measured desorbed products during nitrogen plasma etching by using a time-of-flight technique. Major desorbed products were HCN and C2N2 for all organic films we used, and very small amount of C6H5 which is a part of the side chain was also observed in the case of PBMA etching. We will discuss the etching characteristics of organic material based on surface analysis using an in-situ X-ray photoelectron spectroscopy and an energy distribution of the desorbed products. This work was funded by NEDO.
PS-MoP-3 Effects of Ar Plasma on DVS-BCB Monomer Used for Low-k Film Deposition
T. Wakai, T. Shirafuji, K. Tachibana (Kyoto University, Japan)
Divinly siloxane bis-benzocyclobutene (DVS-BCB) is an attractive monomer because practical low-k films can be prepared by plasma polymerization of this monomer.1 Recently, its dissociation reaction due to electron impact has been investigated with mass spectroscopy, and fragmentation pattern in the plasma has been discussed by Kinoshita et al.2 However, the DVS-BCB plasma contains excited noble carrier gas and ions, and its effects are not investigated yet. Therefore, we have investigated effects of Ar plasma treatment on DVS-BCB monomer on c-Si with in situ FT-IR reflection absorption spectroscopy. ICP (13.56MHz, 200W) was used for the treatment at room temperature. Duration of the treatment was varied, and temporal change of the spectra was monitored. It has revealed that major reaction due to Ar plasma treatment is opening of benzocyclobutene ring in the monomer. Various bias voltage on the sample was examined during the treatment, which revealed that the ring opening occur even without bias voltage although a few ten eV of plasma potential exists. This means that there are important reaction paths in addition to electron impact dissociation, which can be used for triggering polymerization of DVS-BCB without high energy electrons. Details will be discussed including effects of emission from Ar plasma. This work was supported by NEDO.

[1] J. Kawahara et al, Plasma Sources Sci. Technol. 12, S80-S88 (2003). [2] K. Kinoshita et al, Proc. 25th Int. Symp. Dry Process, Nov.13-14, 2003, Tokyo (2003) No.3-01.

PS-MoP-4 A New Plasma Source for Destruction of Organic Material in the Post Chamber Hardware, and Implications for Process Endpoint Detection for Specific Low-k Applications.
A.K. Srivastava, P. Sakthivel (Axcelis Technologies, Inc.); T.J. Buckley (Formerly, Axcelis Technologies, Inc.); A.F. Becknell (Axcelis Technologies, Inc.)
The high temperature ash of photoresist in the presence of certain oxygen-sensitive low k materials requires a plasma discharge devoid of conventional oxidizing agents. In such cases, the chemistries active on the wafer do not entirely destroy the long chain organic polymers that make up the resist. Instead, some of the photoresist is re-deposited on the chamber walls, as well as in the hardware downstream of the wafer. Specifically, parts of the vacuum system comprising the throttle valve, foreline valve and pump lines get coated with organic material, which then have to be manually cleaned during frequent maintenance periods. This leads to significant down time for the ash tool. Due to this oxidizer-free process, there are few emissions in the visible region of the spectrum from reactions at the wafer surface, which makes conventional optical emission based end-point schemes mostly unusable. A compact plasma system has been developed that creates an intense radio frequency (RF) discharge in the exhaust line below the process chamber. Using a secondary inlet for oxidizer agents, the plasma system combusts all incoming organic material before it has a chance to redeposit on the post chamber hardware. Data will be shown on the observed destruction efficiency. Additionally, analysis of the plasma using a residual gas analyzer (RGA), as well as an optical spectrograph to obtain crucial information on the reaction chemistries will be presented. RGA data from diffusion tests show that no oxidizing agent from the downstream inlet makes its way upstream into the chamber where it could potentially harm the low-k material on the wafer. Finally, successful oxidation of the organic material in the plasma source gives rise to optical signals downstream of the chamber that can be used very effectively to extract endpoint for resist removal from the wafer surface.
PS-MoP-5 RF-plasma Functionalization of Large Quantity of Carbon Nanotubes: XPS and AFM Analysis of O2 and CF4 Treatments
A. Felten, C. Bittencourt (LISE, Belgium); S. Cuenot, R. Daussin, C. Bailly (Universite Catholique de Louvain, Belgium); J.-J. Pireaux (LISE, Belgium)
Polymer based electromagnetic screening materials are highly requested nowadays. The fabrication of this material can be achieved by mixing conductive additives to a polymer matrix. CNT are promising ones due to their unique properties. However the formation of homogeneous composite with as grown nanotubes presents a technological difficulty, due to a low compatibility between macromolecules and CNT. To overcome this problem a modification of nanotubes surface by changing their chemical composition was proved to be efficient. There are several ways to modify the CNT surfaces (chemical, plasma12, fluorination,...). In this work we use inductive RF plasma to functionalize the CNT surface. The nanotubes are Multiwalled prepared by CVD (Nanocyl) and arc discharge (Mercorp). The influence of different plasma conditions (type of gas, power, treatment time, pressure, position of the sample in the discharge) on the functionalization of the CNT was analyzed by XPS. The obtained results show that the concentration of the functional groups bonding at the nanotube surface increased with the treatment time and the plasma power. Concerning the treatment by O2 plasma, the presence of hydroxyl and carboxyl groups has been confirmed by XPS analysis. Depending on the CF4 plasma conditions, it is possible to have either a functionalization of the CNT or a polymerisation of the monomer on the CNT surface. The treatment was scaled up to produce up to 2 grams of nanotubes at once by using magnetic stirring of the powder.An important step of this work was to define the optimal plasma conditions for which the concentration of the fixed functional groups was highest without destruct the nanotube surface. In this way, different plasma treatments were tested on the nanotubes and their surfaces were systematically characterized by atomic force microscopy (AFM).This work is supported by a grant from Region wallone, RW-ENABLE.


1H. Bubert et al., Diamond and Related Materials, Vol 12, 3-7, 811-815 (2003)
2N. O. V. Plank et al., Applied physics letters, Vol 83, 12, 2426-2428 (2003).

PS-MoP-6 Suppression of ArF Photoresist Deformation in Inductively Coupled Plasma (ICP)
K.J. Lim, S.-B. Jo, K.-C. Lee (INHA University, South Korea); K.-Y. Jung (CNI, South Korea); S.-G. Park, B.-H. O (INHA University, South Korea)
The ArF photoresist, which can be exposed at 193 nm wavelengths, has been applied in semiconductor manufacturing for a 0.1µm feature size and beyond. Recently reported results show that ArF photoresist is much weaker and thinner than KrF (248 nm) photoresist. It will be a challenge in plasma etch process to maintain the integrity of ArF photoresist, leading to be free of resist deformation during critical etch process such as Si3N4 hardmask opening. In this paper, plasma etch resistance and morphological changes of ArF photoresist in various plasma etching environments was checked. To determine the main etch parameter causing deformation of ArF photoresist, etching parameters in Inductively Coupled Plasma (ICP) source was varied and compared the results. We present alternative etch techniques consists of a triple sequence of protective layer coating, polymer / photoresist trimming and final etch step. The effect of fluorocarbon layer formation on selectivity and etch profile will be discussed.
PS-MoP-7 Experimental Characterization of an Inductively-Coupled Acetylene/Hydrogen Plasma for Carbon Nanofibers Synthesis
Y.Y. Lin, K.C. Leou, H.H. Wei, M.T. Wei, C. Lin, C.H. Tsai (National Tsing Hua University, Taiwan)
A plasma enhanced chemical vapor deposition process was employed to synthesiz carbon nanofibers on silicon or glass substrates patterened with Ni catalystic films. The plasma was generated by an inductive coil driven by 13.56 MHz RF power with acetylen and hydrogen gas mixtures. The substrates were placed on a temperature controlled staged which was also powered by 13.56 RF voltages. At gas pressure 15-25 mTorr and substrate temperature (surface) 500-600°C, isolated and vertically-aligned carbon nanofibers have been successfully synthesized. This paper reports experimental investigation of plasma properties characterized by optical emission spectroscopy of spectra line intensities of various species such as hydrogen, C2 and CH, as well as RF properties at the biased substrate stage measured by RF impedance meter. Measurement results reveal that line intensity of C2 increases with acetylene/hydrogen ratio. On the other hand, hydrogen atom density which was estimated by optical actinometry measurements(using Ar as the tracer gas) decreases accordingly. As a consequence, there was more α-C contents in the nanofibers as verified by both SEM imagies and micro-Ramman measurements. The ion current(or flux) incident on the substrate surface was estimated by the ratio of the RF power over RF voltage amplitudes as measured by the impedance meter. The ion current increased with ICP source power but changed little when the bias power was varied, as expected. The RF voltage amplitude, which is rougly proportional to ion energy, decreased as ICP power was increased. This is because plasma density increases with source power but the bias power is fixed.
PS-MoP-8 In-Situ FTIR Characterization of Gas Phase Chemistry in Continuous and Pulsed Inductively Coupled 1,3-Butadiene Discharges in a Gec Cell, Cross-Correlated Against Ex-Situ ATR Surface Analysis
A.K. Jindal (The University of Texas at Dallas); A.J. Prengler (NEC); J.R. Frautschi (Western Life Sciences); L.J. Overzet, M.J. Goeckner (The University of Texas at Dallas)
Gas-phase chemistry of 1,3-Butadiene plasmas and resulting deposited film chemistries are examined. In-situ Fourier Transform Infrared Spectroscopic (FTIR) diagnostics are used to characterize the chemistry at 50 mTorr pressure in continuous and pulsed regimes of the discharge, in an inductively coupled gaseous electronics conference cell. The continuous discharge was examined using a designed experiment with pressure, power, and gas flow being the free parameters. The pulsed discharge was examined at duty cycles ranging from 87 to 3 percent, all acquired at 50 mTorr, 8 sccm, and 60 W (during plasma "on" phase). When the plasma was pulsed with a 50 percent duty, approximately 10 percent of the polymer was broken apart. This increased to approximately 20 percent for the continuous discharge. Ex-situ Attenuated Total Reflectance (ATR) diagnostics are used to study the surface chemistry resulting from plasma deposition of Butadiene on bare silicon substrates under both continuous and pulsed regimes of the discharge, restricted to the same conditions presented above. Surface analysis in the pulsed modes was performed for each individual duty cycle, along with analysis of film grown using combined cycles. FTIR data is cross-correlated against the ATR data to better understand the interactions between plasma induced gas phase and surface chemistry. This work is supported in part by grants from NSF/DOE, CTS-0078669 and NSF, CTS-0079783.
PS-MoP-9 Impact of Plasma Polymerization on Cd Bias and Ler
S.K. kim (Cypress Semiconductor)
CD bias and LER are the most critical factors to enable sub-90nm technology contact hole formation in terms of good Rs and barrier deposition. As pattern density increases, ARC (Anti-Reflective-Coating) layer is essential together with 193nm resist to print good contact holes. It, however, drives plasma contact etch much more challenging than before because ARC material is similar to PR(Photo Resist) and during the ARC plasma etch PR degradation is inevitable. In addition, oxide etch chemistry is evolving to create more carbon rich polymers for 193nm resist selectivity and is getting O2 gas dependant to control the amount of the polymer, which caused LER worse. In this study, impact of plasma polymerization during the plasma oxide etching on CD bias/LER was evaluated. Polymerization can be dominated by etching gas combination. Adding Freon 134(C2H2F4) into conventional ARCE chemistry such as CF4/CHF3 and oxide etch(C4F6/O2) enables to modulate CD bias/LER. Local non-uniformity of oxygen atoms in the contact hole is the root cause of LER. Etching sequence, adding dry CLN step at the end of oxide etch step is also play an important role on CD bias/LER as well. Furthermore, Impact of process parameters such as CF4/CHF3 ratio and etching time will be discussed.
PS-MoP-10 Deformation of ArF Photoresist and Silicon Nitride Etching using Dual Frequency Superimposed (DFS) rf Capacitive Coupled Plasma
D.H. Kim, S.H. Cho, J.G. Lee, N.-E. Lee (Sungkyunkwan University, South Korea)
As the critical dimension (CD) of advanced CMOS devices is scaled down below 100 nm, 193 nm ArF photoresist (PR) needs to be used as a mask for various etching processes including silicon nitride (SiN) hard-mask opening. Recently, dielectric etch process using ArF photoresist mask by dual frequency superimposed (DFS) capacitive coupled plasma (CCP) has attracted a lot of attention. High frequency (HF) power is used to enhance plasma density and low frequency (LF) power is used to control ion bombardment to the wafer. During dielectrics etch process using DFS-CCP, understanding of ArF photoresist deformation is very important. It has been found that the most serious problems of the hard-mask open process with ArF PR are striation, wiggling, and agglomeration of the PR. In this study, we investigated deformation of unpatterend ArF photoresists by varying the process parameters such as HF(13.56, 27.12, and 60 MHz)/LF(2 MHz) power ratio, pressure and etch chemistry (CHF3/CF4/CH2F2/Ar/O2/N2). Characterization of surface chemical change was performed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FT-IR). Surface morphological changes also investigated by scanning electron microscopy (SEM) and atomic force microscopy (AFM). Also, morphological changes of surface and line edges in ArF PR, SiN etch rate, selectivity over PR during etching of ArF PR/BARC/SiN structures were investigated. The results indicated an increased deformation by increasing the low frequency power and flow rate of Ar and O2. Effects of process parameters on the etch results will be discussed in detail.
PS-MoP-11 SixOyFy Passivation Layer in the Silicon Deep Etching Cryogenic Process
X.M. Mellhaoui, R.D. Dussart, T.T. Tillocher, P.L. Lefaucheux, P.R. Ranson (GREMI - Orléans University, France)
Silicon etching is perfomed by a cryogenic SF6/O2 plasma process. This process allows to obtain a high aspect ratio (depth/width > 10) and a high anisotropy. The plasma is created in an Inductively Coupled Plasma reactor (Alcatel 601E). The silicon wafer is clamped on a electrostatic chuck cooled with liquid nitrogen and controlled in temperature with thermal resistances. A study of passivation mechanisms is necessary to perfectly control this process and to optimize the trench profiles. The passivating layer is a mixture of Si, F, O (SixOyFz). Previous XPS experiments have shown that the passivation layer is removed during the increase of temperature. When destroyed, this layer can be rebuilt with a plasma mixture of SiF4 and O2. This particular experiment was made and has revealed that the presence of sulphur is not necessary to build an efficient passivation layer. In new experimental ICP reactor was equipped with a spectroscopic ellipsometer. This new diagnostic allowed to characterize the kinetic of passivation layer growing in the cryoetching process, and it desorption when the wafer is warmed. Mass spectrometry experiments were also carried to analyze the desorbed species These new results will be presented at the conference.
PS-MoP-12 High Aspect Ratio Contact Hole Etching in C4/F6/O2/Ar/CH2F2 and c-C4/F8/O2/Ar/CH2F2 Plasmas
H.-K. Ryu (LG Chem, Ltd., Korea); C.B. Shin (Ajou University, Korea); Y.-W. Kim (Hynix Semiconductor, Inc., Korea); C.-K. Kim (Ajou University, Korea)
A high aspect ratio contact hole etching of dielectrics (e.g., SiO2) is one of the key processes in developing the next generation ultra large scale integrated devices because of the rapid shrinkage of the design rule to the nanometer level. To meet the several requirements for a high aspect ratio contact hole etching, a high etch selectivity to mask (e.g., photo resist) is practically required to maintain the critical dimension (CD) of the small-size contact hole. Also, bowing-free structures are needed for the etched profiles. Perfluorocarbons (PFCs) such as c-C4F8 are widely used as etchant gases for contact hole etching. These PFCs, however, are considered to be problematic from an environmental point of view because of their long atmospheric lifetimes and high global warming potentials (GWP). Several classes of environmentally benign chemistries have been examined as alternatives to PFCs and unsaturated fluorocarbons (UFCs) are one of the attractive candidates due to their shorter atmospheric lifetimes and lower GWP. In this study, we reports on an etching of a SiO2 contact hole with a diameter of 0.17 µm and an aspect ratio of 15 using C4F6/O2/Ar and C4F6/O2/Ar/CH2F2 plasmas (UFC-containing plasmas). It was shown that the addition of CH2F2 gas made the CD of the contact hole to be maintained, reduced the degree of bowing, and enhanced the etch selectivity to photo resist during a high aspect ratio contact hole etching in a C4F6/O2/Ar plasma. A SiO2 contact hole etching in a c-C4F8/O2/Ar/CH2F2 plasma (PFC-containing plasma) was also conducted to compare the etch profiles and contact resistances obtained in the two different gas discharges: C4F6/O2/Ar/CH2F2 and c-C4F8/O2/Ar/CH2F2 plasmas.
PS-MoP-13 Investigation on the Plasma Parameters and the Properties of the Reactively Sputtered Titanium Oxynitride Thin Films
A. Karuppasamy, A. Subrahmanyam (Indian Institute of Technology Madras, India)
The titanium oxynitride thin films were prepared by reactive dc magnetron sputtering of metallic titanium using a gas mixture of argon, oxygen, and nitrogen. The Argon and nitrogen flow rates were kept constant while the oxygen flow rate was varied .The target was sputtered with a constant current density of 90 Am -2. The films were deposited on quartz and glass substrates, kept at a constant temperature of 573K. The in situ plasma discharge diagnostics were done by optical emission spectroscopy(OES)and Langmuir probe.The emission signal was detected by a miniature fiber optic spectrometer (Ocean Optics SD 2000) coupled with a quartz fiber in the spectral region from 350 nm to 850 nm. Emission lines of different species of titanium, nitrogen and oxygen were analyzed. The plasma parameters like the plasma potential, electron temperatures, plasma density and the ion energy distribution function (IEDF) were measured using Langmuir probe. The crystallographic structure and composition were examined by X-ray diffraction (XRD) and RBS.The temperature dependent electrical conductivity measurements in the range 10 K to 300 K shows an increase in the activation energy with increase in the oxygen content of the films. The index of refraction, extinction coefficient and thickness of the films were measured using a phase modulated spectroscopic ellipsometer in the energy range 1.65 - 3.1 eV. The transparent films were analyzed with Sellmeier dispersion relation while the semi-transparent films with Cauchy polynomial function. With increase in the oxygen flow rate, a sharp increase in the refractive index is observed. The optical transmittance spectra of the films were measured in the energy range 0.56 to 5.61 eV.The carrier concentration and mobility were estimated by low temperature(10 K) Hall measurements.Work function of the films were measured by contact potential method using the kelvin probe.The plasma conditions required for a high quality film will be discussed.
PS-MoP-14 Anomalous Ionization of Copper Atoms in Argon-Based Sputtering Plasmas
H. Kadota, K. Nakamura (Chubu University, Japan); N. Nafarizal, K. Sasaki (Nagoya University, Japan); M. Kobayashi (ANELVA, Japan)
Plasma-enhanced metal sputter deposition has been widely used for formation of seed and/or barrier layers of high aspect ratio contact holes in ULSI semiconductor devices. In this process, sputtered metal atoms are ionized during flight in Ar plasma, and the metal ions can arrive at the bottom of the hole since the metal ions are accelerated in the sheath around the wafer in the direction perpendicular to the substrate. This paper reports on ionization characteristics of sputtered copper atoms in Ar plasmas, especially for ionization fraction of the copper atoms as well as ion density ratio of copper to argon. An inductively-coupled argon plasma is produced in a stainless steel vessel by supplying 13.56 MHz RF powers with a loop bare copper antenna. A blocking capacitor is inserted in series at each end of the antenna conductor, consequently a negative self-bias voltage appears during the operation at the antenna. Therefore, copper atoms are injected into the plasma because of physical antenna sputtering. The ion density ratio [Cu+]/[Ar+] is obtained by combining mass spectroscopic measurements and Langmuir probe measurements, whereas the copper ionization fraction is measured with a biased crystal micro balance. The ion density ratio increases with the Ar pressure, and reaches ~30 at ~100 mTorr. The ionization fraction also increases with the Ar pressure and approximately reaches ~100% at the same pressure. This means that copper plasma is likely to be produced even in the argon discharge. These characteristics are independent to the discharge power, suggesting an ionization mechanism of the copper atoms except for electron impact.
PS-MoP-15 Ionization Processes of Metal Atoms in High-Pressure dc Magnetron Sputtering Discharges
N. Nafarizal (Nagoya University, Japan); K. Shibagaki (Suzuka National College of Technology, Japan); N. Takada (Nagoya University, Japan); K. Nakamura (Chubu University, Japan); M. Kobayashi (ANELVA Corporation, Japan); K. Sasaki (Nagoya University, Japan)
Ionized physical vapor deposition (IPVD) is a key technology in the formation of seed and/or barrier layers on the surfaces of trenches and holes with high aspect ratios. In IPVD, metal atoms sputtered from the target are ionized in the gas-phase, and metal ions are accelerated toward the biased wafer by the sheath electric field. To optimize this technique, it is necessary to know ionization processes of metal atoms. In the present work, we measured the density distributions of Ti and Ti+ in dc magnetron discharges by laser-induced fluorescence imaging spectroscopy. We observed significant production of Ti+ in the gas phase in high-pressure (≥100 mTorr) discharges. The distribution of the Ti+ density had a peak at a distance of 5-6 cm from the target surface. Namely, the production of Ti+ was obtained in dark plasma with a low electron temperature, and was not obtained in bright region near the target with a high electron temperature, indicating that electron impact ionization of Ti is not the production process of Ti+. As another possible candidate of the production process of Ti+, we investigated Penning ionization (collision between Ti and ArM) by measuring the density distribution of Ar at a metastable state (ArM). In addition, we estimated the density ratio between Ti+ and Ar+ from the total plasma density measured using a Langmuir probe. The result suggests that Ti+ is the dominant ion in the down flow region of the high-pressure discharge.
PS-MoP-16 Plasma Chemistry of a Nb/Ar/O2 Magnetron Discharge
S. Mráz, M. Wuttig, J.M. Schneider (RWTH Aachen, Germany)
We have investigated the plasma chemistry of a DC reactive magnetron discharge with a mass energy analyser. We have studied the effect of the oxygen partial pressure on the positive and negative ion populations of the discharge. The current supplied to the magnetron and the total pressure were kept constant throughout the experiments at 900 mA and 0.8 Pa, respectively. The plasma chemistry is strongly affected by the oxygen partial pressure. As the oxygen partial pressure is increased the formation of oxygen based positive and negative ions is observed. Furthermore, we present evidence for the oxygen partial pressure dependent presence of NbO based clusters, both positively and negatively charged. As the oxygen partial pressure is increased the NbO based clusters become the dominating Nb based ionic species in the plasma. These findings are of relevance for the chemical composition and structure evolution of thin NbOx films.
PS-MoP-17 Dry Etching of (Pb,Sr)TiO3 Thin Films Using Inductively Coupled Plasma
C.I. Kim, K.T. Kim, G.H. Kim (Chungang University, Korea); T.H. Kim (YeoJoo Institute of Technology, Korea); C.I. Lee (Ansan College of Technology, Korea)
Recently, ferroelectric thin films have received great attention for the application to high density memory devices. Among the various ferroelectric films, the BST thin film was noticed as the most promising material due to its high dielectric constant and paraelectricity at normal operating temperature. Although BST possesses a satisfactorily high dielectric constant, it was known that a post heat treatment at a high temperature was essential to obtain good electrical property. The heat treatment at high temperature can cause deleterious effects on an electrode, barrier metal, and contact plug. Strontium titanate (SrTiO3) is one of the few titanates which is cubic at room temperature. But, the dielectric constant is lower than BST. The addition of lead into strontium titanate makes its dielectric constant(1377) higher and the temperature of crystallization lower. Therefore, (Pb,Sr)TiO3 (PST) thin film can be a promising material due to its high dielectric constant and paraelectricity at normal operating temperature. However, there is no report on the characteristics and mechanism of PST thin films during etching process. In this study, inductively coupled plasma etching system was used for etching PST because of its high plasma density, low process pressure and easy control bias power. The etching characteristics of PST thin films were investigated in terms of etch rates and selectivity as a function of gas mixing ratio, rf power, dc bias voltage and chamber pressure. The BCl3/Cl2/Ar plasmas were characterized by optical emission spectroscopy (OES), quadruple mass spectrometry (QMS) and Langmuir probe analysis. The chemical states on the etched surface were investigated with x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS). Scanning electron microscopy (SEM) was used to investigate the etching profile.
PS-MoP-18 Irregular Pattern Deformation in Etching of High Aspect Ratio Contact Holes
S.-I. Cho, S.-Y. Son, Y.-J. Kim, M.-C. Kim, K.-K. Chi, C.-J. Kang, J.-T. Moon (Samsung Electronics Co. LTD, South Korea)
During the high aspect ratio etching process, the transferred pattern may be distorted by the deflection of ion trajectories due to the local charge build-up and nonuniform polymer deposition. The integrity of devices increases, high aspect ratio structures are required to meet the device architecture. Top-down shapes of the etched profiles are observed at various depths of contact holes with high aspect ratio. The top-down shapes are distorted and become irregular as the depth becomes deeper than the aspect ratio of 10. The degree of the pattern deformation is monitored at various process conditions using the contact holes with the aspect ratio of 17. The degree of the pattern deformation is changed with the process parameters, such as C/F ratio, residence time, bias energy, and frequency. We also investigate the correlation of the deformation with the radical species, bias energy, plasma density, and bias frequency by analyzing optical emission spectroscopy (OES), plasma potential, and auger electron spectroscopy (AES). The result of OES shows that the etched pattern becomes more severely distorted when the larger CF2 radicals exist in the gas phase. The profile deformation is not only controlled by polymer species but also by ion energy. The pattern distortion becomes worse by lowering ion energy. Based on results, irregular pattern transfer in high aspect ratio contact holes is caused by nonuniform polymer deposition and the deflection of ion trajectory due to the local charge build-up.
PS-MoP-19 Etching Profile of (Ba,Sr)TiO3 Thin Films in a BCl3/Cl2/Ar Inductively Coupled Plasma
C.I. Kim, K.T. Kim, G.H. Kim (Chungang University, Korea)
(Ba1-x,Srx)TiO3 (BST) thin film attracts a great interest as a new dielectric material in capacitors for the next generation ultra-large scale integrated dynamic random access memories (ULSI DRAMs), such as giga bit DRAM in the wide range of research institutes to semiconductor industries because of its large dielectric constant, low leakage current and low dielectric loss. In order to realize the higher integration, it is important to minimize the dimension of storage capacitors, simplify the memory cell structure and maintain sufficient accumulated electric charge within a smaller capacitor. Although BST thin films are excellent capacitor dielectric materials for DRAMs, there are several problems such as fine pattern transfer and no plasma induced-damage etc. In order to solve these problems, the etch behavior of BST with BCl3/Cl2/Ar gas mixtures is performed with inductively coupled plasma (ICP). In this study, inductively coupled plasma etching system was used for BST etching because of its high plasma density, low process pressure and easy control bias power. The etching characteristics of BST thin films were investigated in terms of etch rates and selectivity as a function of Cl2/Ar and additive BCl3 into Cl2/Ar, rf power, dc bias voltage and chamber pressure. The Cl2/Ar and additive BCl3 into Cl2/Ar plasmas were characterized by optical emission spectroscopy (OES), Langmuir probe analysis and quadruple mass spectrometry (QMS). In order to minimize the pattern transfer difference between a dense cell pattern and isolated pattern, we investigated the effects of process parameters (rf power, dc bias, process pressure and residence time) in Cl2-based ICP etching. Scanning electron microscopy (SEM) was used to investigate the etching profile.
PS-MoP-20 Characteristics of Neutral Beam Generated by 3-grid Low Angle Forward Reflected Neutral Beam System and its Etching Properties
D.H. Lee, B.J. Park, G.Y. Yeom (Sungkyunkwan University, South Korea)
Plasma etching is widely used for the fabrication of deep submicron silicon based integrated circuits. However, plasma etching has a serious disadvantage due to the energetic charged particles such as positive ions and photons generated in the plasma which causes radiation damage resulting in physical defect, increased gate oxide breakdown, charging, etc. To avoid these charge-related and physical impact-related damages, several low-damage processes have been proposed. One possible alternative to avoid these problems is to use low energy neutral beam. In fact, many studies have been conducted previously and currently being conducted to generate parallel and low energy neutral beams and to etch materials vertically without having electrical charging and physical damage. In our study, to extract the parallel neutrals from the plasma, a neutralizing reflector having less than 5 degree sloped to the extracted ions was used which called low angle forward reflected neutral beam. In this study, the characteristics of a neutral beam and the etch characteristics of Si and SiO2 for SF6 gas have been studied using a low-angle forward-reflected neutral (LAFRN) beam system. In other to obtain higher neutral flux and lower beam energy, a 3-grid system was used to the neutral beam source and the neutral beam energy and flux was investigated using a quadrupole mass spectrometer.
PS-MoP-21 Plasma Etching of Pyrex Glass by Inductively Coupled Plasma for Fabrication of Microfluidic Channel
J.H. Park, N.-E. Lee (Sungkyunkwan University, South Korea); J.S. Park, H.D. Park (Korea Electronics Technology Institute, South Korea)
Among many micromachining technologies of glass for microfluidic channel fabrication, DRIE (deep reactive ion etching) has been becoming increasingly attractive because of the trend towards micrometer-scale and nanometer-scale pattern in the glass or quartz. However, low etch rate of glass during plasma etch has limited the usefulness of plasma etch in the fabrication of high aspect ratio structure of glass footnote1-3. In this study, DRIE of pyrex glass was carried out using SF 6-based gas chemistry in a commercial 8â? inductively coupled plasma (ICP) reactor (TCP 9100, Lam Research Corp.). The glass substrate used in this experiment was pyrex glass with the thickness of 700 µm and 1cmï,´1cm squared-shape. As a etch hard-mask, Ni was electroplated into patterned SU-8 photoresist mask with the line and spacing pattern of 12-15µm on Cu /Cr /glass structure. In case of using SF 6 only, edge profiles of glass showed under-cutting below the Ni hard mask due to chemical etching and trenching or cusping at the bottom of etched channel occurred. Etch rate of ≤0.75µm/min was obtained. On the other hand, in case of using SF 6/Ar chemistry, profile improvement without under-cutting and trenching phenomena was observed compared to the case of SF 6 chemistry but etch rate was slightly decreased. Etch rate of ≤0.54µm/min was obtained. Ar addition was effective in the removal of etch residues by high-flux Ar ion bombardment leading to improved profile and removal of bottom trenching. XPS results indicate that sputtering etching helps remove nonvolatile by-products such as AlF3, NaF, and BF. In case of SF 6 /C 4F8/Ar chemistry, etch characteristics of pyrex glass were similar to those of SF 6 and SF 6 /Ar chemistries but glass etch rate was slightly increased. Optimized process showed the following performance: angle profile: >88°, and glass etch rate: 0.8µm/min.
PS-MoP-22 Etching Characteristics of Al-doped ZnO Thin Films in ICP Etcher
S.W. Na, M.H Shin, Y.M. Chung, J.G. Han, J.-H. Boo, N.-E. Lee (Sungkyunkwan University, South Korea)
Recently, the greatly increasing use of transparent conducting oxide (TCO) films such as indium tin oxide (ITO) for flat panel displays has promoted the development of inexpensive TCO materials including Al-doped ZnO (AZO). AZO films have high electrical conductivities as well as high optical transparencies. From the manufacturing viewpoint, TCO films should be easily etched in order to fabricate fine electrodes. Therefore, dry etch characteristics of ZnO and Al-doped ZnO films need to be investigated. In this study, etching characteristics of AZO thin films using inductively coupled plasma (ICP) etcher. AZO thin films with thickness of 300~400nm and different Al doping concentrations were prepared on wafer substrates by RF magnetron sputtering and photolithographic patterning of a positive photoresist mask (AZ7220) was followed. An rf power of 13.56MHz was applied to the top electrode coil to induce ICP. Bottom electrode power of 13.56MHz was applied to the substrate holder to induce self-bias voltage to the wafer. Plasma etching of AZO thin films was investigated by varying gas mixing ratio of Cl2/(Cl2+Ar) and CH4/(CH4+Cl2+Ar) chemistries, top electrode power, and bottom electrode power. Etch rate and profiles on different weight percent of AZO thin films were measured by the scanning electron microscopy (SEM). In order to understand the etching mechanism, optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS) are used to investigate the chemical states of the etched surface with various gas mixing ratios. The etch rate was increased with increasing rf bottom power and top electrode power and the etch rate of >= 200 nm/min was achieved. From the various measurements, it was found that the addition of Cl2 and CH4 gases plays an important role in determining the etch arte. Etching characteristics of AZO films with different Al doping concentrations will be discussed in detail.
PS-MoP-23 Effect of BCl3 Addition on Etching Characteristics of TaN in BCl3/Cl2/Ar Inductively Coupled Plasma
M.H Shin, S.W. Na, N.-E. Lee (Sungkyunkwan University, South Korea); J.H. Ahn (Hanyang University, South Korea)
Patterning of absorbers is a crucial step in the manufacturing of EUVL (Extreme ultra-violet lithography) masks due to the stringent CD and reflectance requirements. So, patterning characteristics of new absorber materials for EUVL masks have to be evaluated [1]. Tantalum nitride (TaN) is one of the candidates for absorber layers in the mask used for the next generation EUVL and X-ray lithography because of the relative ease of patterning and its durability in cleaning processes. In this work, etching characteristics of 500-nm-thick TaN layers were investigated in a modified 8 inch commercial ICP (inductively coupled plasma ) etcher having a 3.5 turn spiral copper coil on the top of chamber separated by a 1-cm-thick quartz window. RF powers of 13.56MHz were applied to the top electrode coil and the substrate holder to induce ICP and self-bias voltage to the wafer, respectively. In order to investigate the effect of BCl3 addition to Ar/Cl2 chemistry on the TaN etch characteristics, TaN etch rates were measured by changing the added BCl3 flow rate and the bottom electrode power while the Cl2 and Ar gas flows are fixed at 80sccm and 20sccm, respectively. To understand the role of BCl3 gas in BCl3/Cl2/Ar ICP etching, the relative change in the densities of Cl and Ar radicals and the chemical binding states of etched TaN surfaces were measured by optical spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS), respectively. The results showed the relative density of the Cl radicals was higher in the BCl3/Cl2/Ar chemistry than in Cl2/Ar chemistry at the same total gas flow rate. The effects of BCl3 addition to Cl2/Ar chemistry on the etch characteristics of TaN (etch rate, selectivity over SiO2 buffer layer, etc) will be discussed in detail. [1] F. Letzkus, J Butschke, M. Irmscher, F. M. Kamn, C. Koepernik, J. Mathuni, J. Rau, G. Ruhl: Microelectronic Engineering 2004.
PS-MoP-24 High-density Plasma in Low-pressure will Cast a Bright Foresight in a 45 nm Node Etching Process
Y. Morikawa, T. Hayashi, K. Suu, M. Ishikawa (ULVAC, Inc., Japan)
For the next-generation lithography, whose target dimensions are below the 100 nm realm, ArF lithography is considered to be a promising technique. However, an ArF resist has poor etching resistance, which brings on low mask selectivity and results in striation or pitting by resist degradation. This is a serious problem in a future device fabrication. We have studied etching characteristics of organic materials in view of pressure dependence and relations of etching species. Obtained results suggest organic materials are very reactive with atomic hydrogen, oxygen, halogen and other molecular radicals, and therefore, high density plasma in low pressure below 1 Pa is very useful to achieve anisotropic etching of organic films and porous dielectric materials with ArF resist mask without striation. Organic materials including ArF resist may react with radicals, so chemical / physical properties of the organic films may change and resulting degradation may occur under the energetic particle irradiation in the etching plasma. An important issue in the porous low-k etching process is the film damage(ref.1) (degradation of the etched sidewall). If the thick sidewall protective film is formed, this damage may be suppressed. However, it is necessitated that a thin protective film is formed on the sidewall in the finely patterned holes and lines below 100 nm. Nitrogen additive plasma may answer this problem. Under a certain etching condition, it was deduced that the protective thin film formed in the nitrogen additive plasma was composed by some kinds of fluorinated acrylonitrile polymer. 1) Y. Morikawa, N. Mizutani, M. Ozawa, T. Hayashi, W. Chen, and T. Uchida, J. Vac. Sci. Technol., B 21 (2003) 1334.
PS-MoP-25 Study on Effects of Ion Irradiation on Plasma Anisotropic Cu CVD using a Triode Discharge
K. Takenaka, T. Kaji, K. Koga, M. Shiratani, Y. Watanabe (Kyushu University, Japan)
Plasma anisotropic CVD method can realize bottom-up filling of Cu in a trench without sidewall deposition. 1,2 This method is promising for Cu metallization in ULSI, since it has a potential to fill extremely narrow trenches and holes with a high aspect ratio. In order to reveal the anisotropic deposition mechanism, we have studied the deposition profile on a Si substrate with trenches using a triode discharge, for which a grounded mesh is placed at 10 mm above the substrate to control flux of ions impinging on the substrate. Without ion irradiation, nothing is deposited on the top, bottom and sidewall. With irradiation of ions of a low energy below 12 eV, the deposition rate on the top is 2 nm/min; while that on the sidewall increases with a trench width W from 0 nm/min for W ≤ 1500 nm to 0.25 nm/min for W = 3300 nm, and the deposition rate on the bottom increases with W from 0 nm/min for W ≤ 700 nm to 0.9 nm/min for W = 3300 nm. These results suggest the following three items; 1) ion irradiation is necessary for the deposition, 2) low energy ions are hard to reach the bottom of a narrow trench, 3) a fraction of low energy ions impinge on the sidewall of a wide trench. The items of 2) and 3) indicate that a width and an aspect ratio of trench have an influence on kinetic energy and flux of ions reaching bottom and sidewall. Based on the results, effects of ion irradiation on the plasma anisotropic CVD will be discussed in the presentation.


1
1 K. Takenaka, et al., Mater. Sci. Semicond. Proc. 5 (2003) 301.
2 K. Takenaka, et al., J. Vac. Sci. Technol. A22(4) (2004) in press.

PS-MoP-26 Deep Silicon Etch and In-Situ Passivation of Silicon Mold
S.-B. Jo, S.-G. Lee, E.-H. Lee, S.-G. Park, B.-H. O (INHA University, South Korea)
Silicon mold is attractive for polymer embossing applications, since the properties of silicon and micro-machining process have been highly characterized through the integrated circuit fabrication. In this article, we present modified Bosch process to obtain high aspect ratio silicon mold with conventional Inductively Coupled Plasma (ICP), without the need of expensive Bosch process systems. In modified Bosch process scheme, silicon etch / sidewall passivation time is much longer than commercialized Bosch process systems and process transition time was introduced between silicon etch and sidewall passivation. Etch profile is significantly varied with external parameters, such as silicon etch / sidewall passivation time, ion energy, and substrate temperature. The variation of fuorocarbon film properties was characterized for various process parameters. Deeply etched micro-structure on silicon mold was well transferred to polydimethylsiloxane (PDMS) and may be suitable for polymer embossing applications.
PS-MoP-27 Instabilities of Nanoporous Silica (NPS) During Plasma-Based Pattern Transfer and Subsequent Resist Stripping
X. Hua, T. Kwon, R. Phaneuf, G. Oehrlein (University of Maryland, College Park); P. Lazzeri, M. Anderle (ITC-irst, Italy); P. Jiang (Texas Instruments, Inc.); C.K. Inoki, T.S. Kuan (University at Albany, SUNY)
We have investigated several instabilities of nanoporous silica associated with the fluorocarbon plasma-based transfer of resist masks and subsequent removal of the resist mask. Novel phenomena that are absent during plasma exposure of a homogeneous material, e.g. SiO2, are observed for NPS materials as a result of the changes in the plasma surface interactions. These include changes in the morphology of near surface pores along with plasma-process dependent surface roughening of the NPS etching front. The instabilities are dependent on of both the plasma properties and NPS characteristics, e.g. overall porosity. The physical mechanisms giving rise to these instabilities in fluorocarbon-based plasma will be discussed based on plasma and surface characterization results. Resist mask removal can lead to deep modifications of NPS materials, e.g. carbon loss and an increased dielectric constant. We have investigated the potential of direct and remote plasmas fed with N2/H2/O2 precursor gas mixtures and substrate temperature to maximize resist removal rate while minimizing NPS materials modifications.
PS-MoP-28 Real-Time Etch Optimization in Electron Cyclotron Resonance-Microwave CO/H2 and CO2/H2 Plasmas
A.A. Dyachenko, A.S. Orland (Auburn University); R. Blumenthal (Auburn Univerisity)
Previous results, in our group1, have indicated that the etch rates of nickel are significantly enhanced when formic acid appears in CO/H2 plasmas and when oxalates appear in CO2/H2 plasmas. At that point, it was not clear whether the formic acid and oxalates are new primary etchants or daughter ions of the metal complex product. In this work, a real-time monitoring of the concentrations of chemically generated species in electron cyclotron resonance (ECR) hydrogen plasmas is investigated by means of supersonic pulse plasma sample mass spectrometry. The influence of various plasma parameters, on the concentration of etching products/etchants and on the actual etch rates, has been examined with a -200 V DC bias at maximum brightness. Above 30% CO concentration, contamination of the vacuum chamber occurs and therefore experiments under such conditions have not been conducted. Upon decreasing the CO fraction from 30% to 5%, an increase on the order of 30% in the formic acid concentration was observed. Increasing microwave power from 150 W to 250 W results in a 20% increase in the formic acid concentration. Correlations and anticorrelations between the concentrations of reactive species formed in the plasma and the etch rates measured by profilometry will be presented along with a chemical mechanism consistent with the observed etch rate enhancement. Similar results for oxalates in CO2/H2 plasma will also be presented.


1 A.Orland, Ph.D. thesis, Auburn University, 2003.

PS-MoP-29 Anisotropic Etching of Sio2 Film and Quartz Plate Employing Anhydrous Hf
T. Fukasawa (Tokai University, Japan)
Plasma etching technology has been widely used since the 1980s in the fabrication of ultra-large-scale integrated (ULSI) circuits. However, charged particles such as ions and electrons generate ion implantation to Si, have charge up damage to SiO2 film and have low selectivity of the photoresist. On the other hand, HF gas is also widely used in a removal process of native SiO2 films and in a cleaning process of a wafer as a dry process. Only chemical reactions are dominant in the reactions of HF gas etching and Si is not etched by HF gas, consequently, non-damaged, highly selective etchings of SiO2 film and quartz plate can be achieved in HF gas etching. However, almost all reports about HF gas etching were isotropic etchings. When I investigated planarization technology employing anhydrous HF gas, very unique etching characteristics were observed when the etched depth of AHF gas etching was measured employing OFPR-800 as a photoresist. The stage temperature was set at 20° C and AHF gas was introduced to the chamber at a pressure of 30 Torr. After 15 min etching, AHF gas selectively etched the quartz surface just below the OFPR resist. In this etching, neither plasma nor ultraviolet light was used. A SiO2 film below the photoresist, OFPR-800, was selectively etched with AHF gas. However, etch-stop was observed at the depth of 1.5 µm after 15 min. I found that the flow rate of HF gas and a high-pressure process were very effective with regard to this issue. When the flow rate of HF gas was increased to 4300 sccm, etching never stopped over 40 min and 20 µm in depth. The etched depth increased linearly with the increase in etching time. Both ZEP-520-22 and SAL-602-SR2 gave the same results. The etch-stop problem was considered to be mass-balance between the condensed layer below the resist and the gas phase. When AHF etched SiO2 film on Si substrate, notching profile was observed during the over-etching process.
PS-MoP-30 Self-Aligned Contact Etch Development for 90nm Technology Node
M.G. Sedigh, H. Lee, J. Zhang (Cypress Semiconductor); J. Stinnett, A. Joshi (Applied Materials, Inc.)
Self-aligned contacts play a significant role in driving down the cell size in CMOS-based memories, in particular DRAM and SRAM. We present development results of self-aligned contact etch for SRAM 90 nm technology node. Requiring high SiO2, Si3N4, P.R. selectivity and vertical profile, combined with constrained imposed on the process by using 193 nm P.R. and organic BARC (required for improving lithography process window) and ever-present need for well-formed big structures in open area (i.e. overlay measurement marks) form the boundary of our development efforts. Summary of development effort starting from early stage (tool selection, chemistry selection, CIP etc.) and resolving specific failure modes (striation, CD bias, reverse microloading, etc.) will be presented. Some shortcomings and deficiencies with current tool/architecture followed by our recommendation for eliminating them toward next generation dielectric etch will be also discussed.
PS-MoP-31 Atomic Layer Etching of Silicon using a Low Angle Forward Reflected Ar Neutral Beam
S.D Park, D.H. Lee, G.Y. Yeom (Sungkyunkwan University, South Korea)
Atomic layer etching (ALE) is expected to be a very important process for the fabrication of future nano-scale devices, because current dry etching techniques could not strictly control the etch depth in nono-scale because of their high etch rates. In addition, relatively high energy of the ions can damage the crystal surface. Therefore, many studies on ALE of Si have been reported in recent years to develop a technique to etch materials layer-by-layer. But, these previous methods may show charging damage due to the charged particles such as positive ions and photons generated in the plasma. Therefore, in this study, ALE of Si was carried out using a sequential Cl2 adsorption and an Ar neutral beam irradiation instead of ion beam. Low energy Ar neutral beam was generated by a low-angle forward reflected neutral beam technique. ALE of Si is a cyclic process consisting of 4 steps: (1) adsorption of Cl2 on Si surface, (2) evacuation, (3) Ar neutral beam irradiation to the surface, (4) evacuation of etch products. The etch process parameters for optimizing the atomic layer etching of Si are Cl2 gas exposure time, Ar neutral beam irradiation time, Ar neutral beam energy, etc. It is expected that the etch rate per cycle increased with the chlorine supplying time and saturated to a constant value of about 1.36â"« per cycle which corresponds to the one mono-layer thickness of Si. The surface damage was estimated using transmission electron microscopy (TEM). The step height divided by the total number of ALE cycles yielded the etch rate per cycle. A scanning electron microscope (SEM) was used to observe as-etched Si profiles and an atomic force microscope (AFM) was used to analyze the surface topography.
PS-MoP-32 Vertical Platinum Etch Profile for Electrode of High-K Dielectric Materials with Cl2/Ar/C4F8 Plasma
C.W. Kim, H.-Y. Song, Y.H. Choi, S.G. Yang (Inha University, South Korea); J.G. Lee (Bucheon College, South Korea); S.-G. Lee, B.-H. O, I.H. Lee, S.-G. Park (Inha University, South Korea)
In this paper, we investigate the characteristics of the platinum dry etch using Cl2/Ar/C4F8 gas with ICP(Inductively Coupled Plasma) source. Platinum is widely used as electrode of high-k dielectric materials and the vertical side wall slope of electrode is important especially in the fine pattern process for ultra large scale integration. The etch selectivity of platinum to photoresist is improved from 1:1 to 1.6:1 by C4F8 gas addition to Cl2/Ar gas and this leads to very anisotropic Pt sidewall etch angle of 80° even with the photoresist masking. We studied the C4F8 addition effect by monitoring the variation of active plasma species with QMA(Quadruple Mass Analyzer) and analyzing the residue of etched surface.
PS-MoP-33 Process Consideration in MRAM Metal Etch
S. Kanakasabapathy (IBM T.J. Watson Research Center)
Magnetic Random Access Memory (MRAM) holds the promise to evolve into a non-volatile universal memory1,2. The MTJ stack comprises of a top data storing magnetic film that is separated by a thin Tunnel Barrier in the order of 10A from a bottom magnet3. MTJ patterning involves etching of non-volatile magnetic alloys without the benefit of a high thermal budget. The sidewall redepositon of the etch products is a key yield detractor in these etches4. Besides tapering the profile to achieve sidewall cleaning, stopping on the very thin tunnel barrier is considered an option to minimize this detractor. We present in this paper, such options and contrast them.


1W.J. Gallagher et al,"Microstructured Magnetic Tunnel Junctions", Journal of Applied Physics, 81, p.3741 (1997).
2S. Tehrani et al,"High Density Submicron Magnetoresistive Random Access Memory", Journal of Applied Physics, 85, 5882 (1999).
3S.S.P Parkin et al, "Exchange Biased Magnetic Tunnel Junctions and Application to Nonvolatile Random Access Memory", Journal of Applied Physics, 85, 5828 (1999).
4R. Ditizio et al, "Cell Shape and Patterning Considerations for Magnetic Random Access Memory (MRAM) Fabrication", Semiconductor Manufacturing Magazine, January 2004. .

PS-MoP-34 A Zero-order Semi-Empirical Physical Model for Chemically-Enhanced Physical Vapor Deposition (CEPVD) of Ta(C)N}
N. Li, D.N. Ruzic (University of Illinois at Urbana-Champaign)
Chemically-enhanced physical vapor deposition (CEPVD) is a new technique having the potentiality to deposit films with physical vapor deposition (PVD) quality and chemical vapor deposition (CVD) step coverage. A Ta target is sputtered in a magnetron system with the metal-organic precursor vapor, TBTDET, in combination with a reactive (N2) gas and a secondary RF plasma. CEPVD film properties have demonstrated wide range of variation with the processing parameters. For instance, by controlling the processing the film resistivity drops dramatically from nearly insulating to about 250 ï-ï?­cm. Therefore a zero-order semi-empirical model is established correlating the processing parameters with the target and film surface coverage by Ta, TaN and organic sites, which predicts the target operation mode, and the film elemental composition. The physical component of the model involves the calculation of the plasma properties, reactive sputtering, transportation and deposition of the three kinds of surface sites. The chemical reactions are represented as elementary gas phase reactions enhanced by electron impact, H reducing and ion bombardment. The rate constants are derived by simulation curve fitting with the AES experimental data. The organic by-products accounting for the detection of carbon on the substrate by AES analysis and poisoning of the target are categorized into non-volatile product (OR1) and volatile product (OR2) in a lump-sum assumption. Simulation results are compared with the experiment data with different RF power, bias voltage, pressure, carrier gas flow rate, TBTDET vapor pressure etc, and the deviation is consistently below 25 %. For example, the simulation shows that at certain working conditions the carbon concentration decreases from 61% to 54% as the substrate voltage changes from 0V to -60V, which corresponds to the AES result that the carbon concentration decreases from 51% to 43%.
Time Period MoP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2004 Schedule