AVS2001 Session DI2-MoP: Low K Dielectrics Poster Session

Monday, October 29, 2001 5:30 PM in Room 134/135

Monday Afternoon

Time Period MoP Sessions | Topic DI Sessions | Time Periods | Topics | AVS2001 Schedule

DI2-MoP-1 Deposition of Si-C-O-H Alloy Dielectric Films as a Low Dielectric Permittivity Insulators
B.K. Hwang (Dow Corning Corporation); M.J. Loboda (Wacker Siltronic Corporation); W.D. Gray, G.A. Cerny, R.F. Schneider, J.A. Seifferly, D.W. Roehl (Dow Corning Corporation)
As the feature size in ultra large scale integrated circuit (ULSI) decreases, the minimization of interconnect resistance - capacitance (RC) coupling through the use of copper interconnect metalization and low dielectric permittivity (low-k) has become an intensive interest to the semiconductor industry. Among many low-k candidate materials, carbon-doped silicon oxides, i.e., Si-C-O-H alloy dielectric films have been investigated. These films can be deposited by plasma enhanced chemical vapor deposition (PECVD) of organosilicon gas, (CH3)xSiH4-x, and oxidant mixtures. In this study, we will present the structure, composition, and electrical characteristics of PECVD Si-C-O-H films with different process parameters. The precursor gas mixture used in this study is the trimethylsilane (Dow Corning Z3MS(TM), Semiconductor Grade), oxygen (O2), and helium (He). Samples of Si-C-O-H films were deposited on silicon wafers using a 200mm PECVD reactor. Rutherford backscattering spectrometry and hydrogen forward scattering spectrometry (RBS/HFS), Fourier transform infrared spectroscopy (FTIR), and X-ray photoelectron spectroscopy (XPS) were evaluated to understand the composition and structure of films. The electrical properties such as a dielectric constant, leakage current density, and dielectric breakdown voltage were tested with a metal-insulator-silicon (MIS) structure. The typical film properties of this study are as follows: (1) FTIR spectra indicate that the hydrogen and carbon are incorporated in the form of Si-(CH2)n-Si, Si-H, Si-CH3, and Si-C into a SiO2 backbone. (2) Composition of Si-C-O-H film : Si / O / C / H = 0.2 / 0.36 / 0.12 / 0.32 (based on RBS/HFS). (3) Bulk film density : 0.97 (g/cm3). (3) Dielectric constant (k) at 1MHz : 2.7 ~ 3.2 and k value has a strong relationship with Si-OH content. (5) Leakage current density : 3x10-10 (A/ cm2) at 0.5 (MV/cm) (6) Dielectric breakdown field : > 4 (MV/cm).
DI2-MoP-2 Characterization of Plasma-CVD Grown Low-k Porous Silica Films using Positron-annihilation Lifetime Spectroscopy
T. Ohdaira, R. Suzuki (National Institute of Advanced Industrial Technology (AIST), Japan); Y. Shioya (Semiconductor Process Laboratory Co., Ltd., Japan); T. Ishimaru (Canon Sales Co. Inc., Japan)
Positron-annihilation lifetime spectroscopy was used to determine the pore size distributions in low-k porous silica films deposited by plasma-enhanced chemical vapor deposition (PE-CVD) with source gases of hexamethyldisiloxane (HMDSO) and nitrous oxide (N2O). In PE-CVD, the porosity of the film can be controlled by the deposition conditions, e.g. the pressures and flow rates of the source gases. In the present study, several kinds of porous films with k values ranging from 2.6 to 3.2 were prepared. The positron-annihilation lifetimes at selected depths in the porous films (500 nm thick) were measured by using a mono-energetic pulsed positron beam (0.5 keV - 20 keV). The obtained results show that the pores with average volumes of 0.3 - 1.1 nm3 are introduced in the films, and that the pore size strongly depends on the deposition conditions. The correlation between the pore size and the dielectric constant will be discussed.
DI2-MoP-3 Plasma-Reacting Behaviour of Spin-on Hybrid Organic Siloxane Polymer (HOSP) Low-Dielectric-Constant Thin Films
S.-T. Chen, G.-S. Chen (Feng Chia University, Taiwan); T.-C. Chang (National Sun Yat-Sen University, Taiwan); C.-P. Liu (National Cheng Kung University, Taiwan)
This work employs Fourier transform infrared spectroscopy and Raman spectroscopy, along with atomic force and transmission electron microscopies to examine microstructure and bonding stabilities of spin-on hybrid organic siloxane polymer (HOSP) low-dielectric-constant (k) thin films after they are exposed to oxygen ashing and (or) various passivating plasma treatments. The spectroscopic and microscopic analyses reveal that, upon exposure to the oxygen plasma, the Si-CH3 and Si-C bonds inherent in the thin HOSP (k = 2.5) film are disrupted substantially and, subsequently replaced by highly polarized Si-O, Si-H and (or) Si-OH bonds. These replacements thus degrade the HOSP dielectric film by increasing the magnitude of k significantly. Preliminary results indicate that the HOSP film can be strengthened by passivating it in an adequate plasma. Doing so allows the HOSP to be treated by oxygen-plasma ashing while still maintaining a fairly stable bonding and dielectric properties. Finally, the capability of several ultra-thin (<= 5 nm) capping layers in retarding copper diffusion into the HOSP dielectric layer will be evaluated by measuring C-V and I-V curves of Si/HOSP/capping layers/Cu capacitor samples under conditions of biased thermal stress of 2-4 MV/cm and 150-250 °C.
DI2-MoP-4 Process Characterization of Low Dielectric Constant Silicon Containing Fluorocarbon Films by Plasma Enhanced Chemical Vapor Deposition
Y.Y. Jin (Louisiana State University); H. Kim (Kyungpook National University, S. Korea); G.S. Lee (Louisiana State University)
The development of low dielectric constant (low-k) materials has become one of the most important key challenges for interlayer dielectrics (ILD) of the high performance ultra-large-scale-integration (ULSI) devices. As the decreased design rule and increased complexity of ULSI circuits have essentially increased the crosstalk and RC time delay caused by parasitic capacitance, a great deal of effort has been spent in reducing the dielectric constant of the interlayer as well as reducing the resistance of the wiring metals. Silicon containing fluorocarbon (SiCF) thin films for use as low dielectric ILD have been investigated. The SiCF films were deposited with plasma enhanced chemical vapor deposition (PECVD) using 5% disilane in helium (5%Si2H6/He) and carbon tetrafluoride (CF4) as the precursors. The properties of SiCF films effected by the total flow, the flow rate ratio of CF4/Si2H6 and annealing were investigated to obtain optimal deposition. This work presents the results of a process characterization of PECVD which are the deposition rate, the refractive index and the roughness as functions of the total flow and the flow ratio, and the shrinkage rate and the dielectric constant before/after annealing.
DI2-MoP-5 Etching Characteristics of Polyimide Film as Interlayer Dielectric Using Inductively Coupled Plasma
P.S. Kang, C.I. Kim (Chung-Ang University, Korea); S.G. Kim (ETRI, Korea); H.S. Choi (Juseong College, Korea); C.I. Lee (Ansan College of Technology, Korea); E.G. Chang (Chung-Ang University, Korea)
In ultra-large-scale integrated circuits, where critical dimensions continue to shrink into the deep submicrometer range, RC constant becomes an increasingly dominant factor in governing both the velocities of operation and the corresponding power consumption. One method to reduce RC constant is the reduction of the dielectric constant of the intermetal material. Therefore, many low-k materials such as amorphous carbon, Teflon, SiOF, Polyimide have been studied by the various research institutes. Among them polyimide (PI) films are widely due to low dielectric constant ,low water absorption, high gap-fill and planarization capability. In this study, etching characteristics of polyimide film with O2/CF4 gas mixing was studied using inductively coupled plasma (ICP). The etch rate and selectivity were evaluated to rf power, dc-bias voltage, chamber pressure, and substrate temperature. High etch rate (over 10000Å/min) and vertical profile was acquired in CF4/(CF4+O2) of 0.2. The selectivities of polyimide to PR and SiO2 was 1.1, 34, respectively. The profiles of polyimide film etched in CF4/O2 were measured by a scanning electron microscope (SEM) with using an aluminum hard mask pattern. The chemical states on the PI film surface were measured by x-ray photoelectron spectroscopy (XPS). Ion current density and plasma density of O radical and fluorine in O2/CF4 were investigated by Langmuir probe and optical emission spectrometer (OES). After the etching process, leakage current and dielectric constant were characterized by semiconductor parameter analyzer (HP4145B) and impedance analyzer (HP 4192 at 1MHz) using Al/PI/Al capacitor.
DI2-MoP-6 An Opportunity to Study the Outgassing Behavior of a Novel Organic Low K Material
J.F. Bernard, S. Pangrle, C. Gabriel (Advanced Micro Devices)
Lowering interlayer dielectric(ILD) capacitance is key to enjoying the benefits of further advances in IC design and Cu interconnect technology. Conventional SiO2 is now being replaced as an ILD by an array of new materials. In some cases porosity is used to lower k, in others the films are actually novel organic materials. These new low k dielectrics can behave very differently from the SiO2 used in conventional IC fabrication to date. Outgassing is one metric that is a direct measure of film stability, curing, and contamination. Organic films especially can exhibit significant changes in outgassing vs. etch, cleaning and thermal budget. This complex outgassing of organics due to or during processing is a definite departure from SiO2, even for organo-precursor based glasses such as TEOS. We at AMD have had the opportunity to extensively study the outgassing behavior of DOW Chemical’s organic low k, SiLK, with both Dynamic and Isothermal Evolved Gas Analyses(EGA). Two distinct regimes of outgassing were observed. At lower temperature atmospheric contaminants are dominant. Benzene related film outgassing was observed above 450°C. XPS analyses confirmed the presence of aromatic binding. The stability of SiLK with respect to various processing steps was investigated with interesting results. These investigations led us to also take a look at our wafer storage materials. The affinity for the absorption of organics was demonstrated and minimized. The effects of processing on a porous derivative were examoned with an etch and clean matrix. Overall evolved gas analyses are proving to be a key data point in understanding and, ultimately, utilizing low k films in advanced IC fabrication.
DI2-MoP-8 The Effect of Hydrogen Plasma Treatment on Low-k Hybird-Organic-Siloxane-Polymer (HOSP) Against Damage During Photoresist Removal
T.C. Chang (National Sun Yat-Sen University, Taiwan, R.O.C.); Y.S. Mor (National Chiao Tung University,Taiwan, R.O.C.); P.T. Liu (National Nano Device Laboratory, Taiwan, R.O.C); T.M. Tsai, C.W. Chen (National Chiao Tung University, Taiwan, R.O.C.); W.C. Gau (National Tsing Hua University, Taiwan, R.O.C.); S.M. Sze (National Chiao Tung University, Taiwan, R.O.C.)
While critical dimensions continue to shrink into the deep submicron range for the need of ULSI circuit, the RC constant that governs both the parasitic delay time (i.e. the speed of operation) and corresponding power dissipation, becomes a dominant factor in improving the chip performance. An organic SOG, the Hybird-Organic-Siloxane-Polymer (HOSP) has high evaluation in ULSI applications because of the low dielectric constant about 2.5. It is also one suitable material of Methyl-Hybrido-Silsesquioxane (MHSQ) films, and simultaneously has good gap filling, low stress and comparable enough strength etc. Face with the same issues of organic low k materials, however, the quality of HOSP film is degraded after photoresist removing. This instability is one of the major problems in using HOSP as a low-k material. In this study, the HOSP film has been investigated for the intermetal dielectric application. In addition, H2 plasma pre-treatment was applied to HOSP films. This treatment prevents HOSP films from O2 plasma and chemical wet stripper damage during photoresist stripping processes. In the H2 plasma treatment, hydrogen radicals can passivate the HOSP surface, preventing the formation of Si-OH bonds so that moisture uptake can be avoided. In addition, dielectric degradation due to photoresist stripping process can thereby solve by H2 plasma treatment. These experimental results indicate that H2 plasma treatment is a promising technique for integrating the HOSP as intermetal dielectric application.
Time Period MoP Sessions | Topic DI Sessions | Time Periods | Topics | AVS2001 Schedule