AVS2001 Session PS-ThA: Feature Profile Evolution

Thursday, November 1, 2001 2:00 PM in Room 104

Thursday Afternoon

Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2001 Schedule

Start Invited? Item
2:00 PM PS-ThA-1 Predicitve Profile Evolution Simulation in Plasma Etching
V. Vahedi, D. Cooperberg, L.B. Braly, R.A. Gottscho (Lam Research Corporation)
The ability to predict feature profile evolution as a function of initial patterned profile and process set points will enable reduction in process development time and cost, speed process transfer, and inspire novel process integration approaches. Feature profile simulators have been used in prior studies to qualitatively capture the evolution of both etching and depositing processes. These simulations combined with results from molecular and/or ion beam experiments, chemical analysis of passivation and selvedge layers, plasma diagnostics of density, temperature, and composition have been used to help refine the understanding of the dominant surface mechanisms governing feature evolution and microscopic uniformity. Despite these efforts, our understanding of the complicated surface reaction mechanisms and gas phase kinetics which govern etching and/or deposition of films for commercial applications is incomplete and has prevented truly predictive profile evolution simulations. Commercial etch applications are designed for processing complex film stacks and must meet challenging specifications for mask and stop layer selectivities, sidewall-angle and/or shape, feature width, dense versus isolated feature loading, corner rounding, etch rate, uniformity, and productivity. These demands often require the use of multiple reactive process gases leading to many ion and reactive neutral species. Under these conditions, a semi-empirical approach is the most reasonable way to develop a feature evolution simulation. Our approach is to reduce the complexity of the system using a reduced set of incident species, etch and deposition mechanisms often investigated in the literature under less complex conditions where fluxes have been measured or modeled, blanket etch/deposition rates as a function of reactor settings, and a limited amount of cross-sectional SEM and Langmuir probe data to calibrate the profile simulator. In the current work, we outline a method for calibrating a semi-empirical process simulator and present simulations for Cl2/BCl3 plasma etching of resist patterned Al/0.5%Cu lines. The simulator has been used to predict feature profile evolution as a function of inductively coupled power, RF bias power, and Cl2:BCl3 flow ratio. A silicon trench isolation process simulator may also be discussed.
2:40 PM PS-ThA-3 Investigation of Fluorocarbon PECVD from c-C4F8 for use as Passivation during Deep Silicon Etching
C.B. Labelle, V.M. Donnelly, G.R. Bogart, R.L. Opila, A.M. DeSantolo, A. Kornblit (Agere Systems)
Deep silicon plasma etching is of great importance in fields such as MEMS and photonics. The most commonly used etching technique is the so-called Bosch process, where etch (SF6/O2) and passivation (c-C4F8) steps are continuously alternated to achieve vertical profiles. To investigate the passivation step, fluorocarbon films were deposited from c-C4F8 in a Surface Technology Systems High Rate Advanced Silicon Etch tool, which uses an inductively coupled plasma source. Film deposition rate decreases from 1000 to 740 Å/min as pressure increases from 10 to 25 mTorr, while it increases from 350 to 1500 Å/min as power increases from 300 to 1000 W. Film refractive indices (n) increase roughly linearly from 1.373 to 1.381 for the same pressure range. Carbon 1s XPS shows that, for the ranges explored, pressure and power don't significantly affect the film composition, with films generally consisting of ~ 9% CF3, 38% CF2, 32% CF, and 21% C-CF. The high CF2 and CF fractions correlate with the low refractive indices observed; the slight change in n with pressure is due to replacement of CF2 by C-CF as pressure increases. OES data indicate that the C4F8 is largely broken down into C2, F, CF2, and CF. Therefore, the films may be formed by C2 deposition with subsequent fluorination, CFx deposition, or a combination of both. Quadrapole mass spectrometry of the chamber effluents indicates that CF4 (50% of effluent), C2F4 (25%), and C2F6 (14%) are created. Therefore, recombination, most likely on the walls, can generate larger CxFy species, which also contribute to the film. The effect of residual gases from the etching step on film composition and deposition mechanisms will also be discussed.
3:00 PM PS-ThA-4 Ions in Holes - Experimental Measurements of Ion Trajectories Inside Surface Features on rf-biased Wafers
J.R. Woodworth (Sandia National Laboratories); I.C. Abraham (Intel Corporation); P.A. Miller, R.J. Shul, B.P. Aragon, T.W. Hamilton, C.G. Willison (Sandia National Laboratories)
Most microelectronic devices go though one or more stages in a plasma etching discharge in which energetic ions and radicals are used to etch deep holes or lines in the parts being fabricated. Recent computer models coupled with experimental measurements have greatly improved understanding of the bulk plasmas in these etching discharges. Comparatively little is known for certain however, about interactions between the plasma and the wafer material inside the actual features being etched. This lack of knowledge stems primarily from the absence of experimental data on plasma parameters inside the small surface features. In this talk, we will report direct measurements of ion fluxes, energy distributions, and angular distributions as a function of position at the bottom of small holes in wafers as well as near straight walls and in corners of larger wafer features. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under Contract DE-AC04-94AL85000.
3:20 PM PS-ThA-5 Gate Etching for ULSI Technology : Critical Dimension Control in the sub 0.1 µm Regime
X. Detter, L. Vallier, L. Cunge, O. Joubert (CNRS/LTM, France); R. Palla, I. Thomas-Boutherin (ST Microelectronics, France); T. Lill (Applied Materials)
In a CMOS process, gate etching is still one of the hottest topic since the accuracy required in gate dimension control is more and more severe. Today, a gate etch process is often composed of a BARC etch step and a polysilicon main etch step, followed by a soft landing step (to preserve the gate oxide) and an overetch step. Each step is individually involved in the critical dimension (CD) control of the gate and contributes to some extent to the CD deviation. In theory, a very precise pattern transfer is possible for each step of the process if : 1) the etching is perfectly anisotropic, 2) the mask dimension is maintained intact during the process (no passivation layer deposition on the mask sidewall and no mask erosion). In practice, for each process step, etch products are deposited on the mask and on the feature sidewalls. The layer formed on the mask sidewalls generates a CD deviation whereas the passivation layer formed on the material being etched protects it against profile deformation. In this talk, a preliminary study of the CD deviation induced by each step of a polysilicon gate etch process is performed and correlated with sidewall analysis by X-ray photoelectron spectroscopy (XPS). Standard chemistries (HBr/Cl2/O2) and self clean chemistries (HBr/Cl2/O2/CF4) are compared. In final, for each process step, plasma operating conditions and chemistry are adjusted to minimize the CD deviation of the process and the most promising strategy is proposed.
4:00 PM PS-ThA-7 Determination of the Mechanisms Involved in the Creation of the Bowing during the Etching of Deep Anisotropic Trenches in an ICP Reactor
M. Boufnichel, F. Grangeon (GREMI, CNRS-Universite d'Orleans, France); S. Aachboun (STMicroelectronics, Tours); P. Lefaucheux, P. Ranson (GREMI, CNRS-Universite d'Orleans, France)
In this study, we use a cryogenic technique instead of the polymerising one. The cryogenic method has two main advantages: it is faster and cleaner than the classical one, which uses polymerising gas such as C4F8. A cryogenic method with a SF6/O2 chemistry plasma in an Alcatel ICP (Inductively Coupled Plasma) reactor is used to achieve deep trenches with high aspect ratio (>10) and high anisotropy. The etching rate in 2 microns wide and 100 microns deep trenches is about 3.5 microns /min. The slope of the trenches can be adjusted from 88 ° to 90 ° and selectivity to oxide is higher than 300:1. However, profiles need to be improved, mainly by reducing the bowing and undercut effects. Bowing is a local lateral etching located on the side-walls and resulting in profiles destruction while undercut is a lateral silicon etching at the Si/mask interface resulting in trenches larger than the mask opening. One can notice that it is difficult to mask these defects with a cryogenic method without modifying the other features of the etching process such as the etch rate. Bowing creates the most severe damage as the change in profile slope due to bowed surfaces creating voids when it is necessary to refill the trench for a specific application. This study deals with improvements in these effects. We investigated the outcome of process parameters (pressure, bias voltage, temperature, gases flow rates) and mask characteristics (nature, thickness, side slope, trench width) in parallel with electrical and actinometrical measurements using respectively a langmuir probe and optical emission spectroscopy (OES). We also tested several more or less conducting masks (oxide, PSG, Aluminium,...). The different mechanisms responsible for bowing and undercut will be discussed and evaluated.
4:20 PM PS-ThA-8 Investigation of Plasma Etching of SiO2 Contact Holes using a Statistical Method and a Theoretical Profile Evolution Model1
C. Liu, B. Abraham-Shrauner (Washington University)
The experimental data2 in the plasma etching of SiO2 contact holes in a MERIE reactor with a CF4/CHF3/Ar gas mixture is analyzed by using a statistical method and our semi-analytical etch profile evolution model. The experimental data is from a 25-1 fractional factorial experiment design.3 The five factors which are treated as independent variables are the plasma reactor process variables: CHF3 flow rate, CF4 flow rate, Ar flow rate, gas pressure, and magnetic field. The DC bias voltage, the etch rate, the sidewall slope and the microtrench depth of the etch profiles are analyzed as response variables. The aim of the statistical analysis is the prediction of the measured response variables as a function of the five plasma reactor process variables. The accuracy of the predicted values of the response variables is reported. To reveal the underlying mechanism of the external processing parameter effects on the etch profiles, we simulate the SEMs etch profiles under different processing conditions using our theoretical etch profile evolution model, which is based on the calculation of particle fluxes arriving at the etching surface. Comparison between the control parameters of our model and the measured variables can give us more insights into how to control the etch rate and the etch profile. The new concept of our approach is the combination of the statistical prediction of the measured properties of the etch profile as a function of the reactor process variables and the theoretical simulation of the etch profile.


1This research is supported in part by the Boeing-McDonnell foundation.
2Melisa J. Buie and Jeremiah Pender supplyed the data and SEM micrographs.
3M. J. Buie, J. T. P. Pender, and P. L. G. Ventzek, Jpn. J. Appl. Phys. Part 1, 36(7B), 4838(1997).

Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2001 Schedule