AVS2001 Session MS-TuA: In Line and In Situ Process Control

Tuesday, October 30, 2001 2:00 PM in Room 131

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic MS Sessions | Time Periods | Topics | AVS2001 Schedule

Start Invited? Item
2:00 PM MS-TuA-1 Plasma Etch Endpoint and Diagnostic Fault Detection Using Evolving Window Factor Analysis
H.M. Anderson (University of New Mexico); S. Gunther, B. Fry (CETAC Technologies)
Array detector based systems with statistical analysis capability integrated with real-time data acquisition can provide a wealth of spectral information from a variety of potentially useful gas phase emitting species. In the case of particularly challenging applications such as low-open area self-aligned contact (SAC) etches, utilization of the full optical emission spectrum has been shown to accurately detect endpoint when all other endpoint systems studied failed. Production facility results regarding these and other demanding applications will be presented. The talk will largely focus on oxide etching in AMAT MXP and TEL DRM platforms. Evolving Window Factor Analysis (EWFA) is the principal multivariate techniques used in the analysis. They allow one to dynamically track the principal components of the oxide etch process. EWFA is also shown to useful for automatic fault detection.
2:20 PM MS-TuA-2 Characterization of Pattern Transfer from Litho to Etch Using Scatterometry
T.G. Dziura, U. Whitney, A. Levy (KLA-Tencor); G.P. Kota, G. Peng, R.A. Gottscho (Lam Research)
Gate pattern transfer from the lithography after-develop-inspect (ADI) step to post-gate-etch clean was characterized with spectroscopic CD (SCD) measurements on a KLA-Tencor F5-SCD metrology platform. Data were collected on resist-BARC-a-Si gate-gate oxide-Si grating structures at ADI, post-BARC-etch, post-gate-etch, and after-clean-inspect (ACI). Three different gate etch processes were applied using a Lam Research TCP® 9400DFM etcher to produce varying sidewall profiles. Measurements were made on grating targets with nominal CD ranging from 75 - 160 nm, and line/space ratios 1:1, 1:3, and 1:5. Both wafer map data and dynamic short-term repeatability data were collected. The profiles obtained were compared to cross-sectional SEM (XSEM) measurements. The CD bias between litho, BARC etch, and gate etch was measured for different nominal CDs and line-to-space ratios. The results indicate that SCD provides enhanced information for optimizing etch processes. Wafer maps of profile parameters can be measured at different stages of the process and correlation coefficients calculated between selected pairs of parameters provide insight into the pattern transfer process. The significantly increased resolution of SCD measurements enables more accurate and detailed process models.
2:40 PM MS-TuA-3 Chamber Wall Monitoring and Control for Plasma Etching Reproducibility
S.J. Ullal, T.-W. Kim (University of California, Santa Barbara); H. Singh, J. Daugherty, V. Vahedi (Lam Research Corporation); E.S. Aydil (University of California, Santa Barbara)
Wafer-to-wafer process reproducibility is one of the major concerns in plasma etching of thin films. Production of a uniform plasma with the same ion density, electron temperature, and species concentrations wafer after wafer is a major challenge. The plasma chamber walls play a crucial role in determining these discharge properties and remain as one of the major sources of irreproducibility. The problem of process sensitivity to the wall conditions has been known for a long time but its management has remained an art. Thus, it is critical to monitor the wall conditions and the nature of the films and adsorbates that are deposited on the walls. Towards this end, we have developed a surface probe based on in situ multiple total internal reflection Fourier transform infrared (MTIR-FTIR) spectroscopy that can be used as a diagnostic to monitor the films and adsorbates on the walls of both plasma etching and deposition reactors. This surface probe was used to study the nature of the species present on the walls of the chamber and process repeatability during Cl2/O2 plasma etching of Si. This etching process is particularly challenging because SiClx etching products react with O atoms to deposit a SiOx Cly film on the chamber walls, which must be cleaned with an SF6 plasma to ensure reproducible wall conditions. Infrared spectra of the films depositing on the walls were collected in real time during Cl2/O2 plasma etching of Si and during the SF6 cleaning steps to determine and monitor the effect of each process step and the reproducibility of cleaning. The surface probe was used to minimize the duration of the cleaning step to maximize throughput and to develop cleaning strategies to improve wafer-to-wafer repeatability. Subtle drifts in etch profile shapes could be detected through the use of the MTIR-FTIR probe even though these drifts are not detected through etch rate measurements and other monitoring methods.
3:00 PM MS-TuA-4 Integrated RF Sensor for Accurate Control and Monitoring of on Wafer Process Performance
A.M. Paterson, J.M. McChesney, V. Todorov, J. Holland, M.S. Barnes (Applied Materials)
As the semiconductor industry moves to 300mm wafer size, it has become essential to have accurate and reliable knowledge of the RF and DC parameters experienced by the wafer being processed. Use of a RF sensor integrated on to the tool will provide accurate information useful for process optimization and repeatability analysis, however, correct placement of the sensor in the RF circuit is essential. Placement of the sensor directly in the wafer pedestal can make the design complicated, more expensive, and less accessible. This paper describes a more reliable method for obtaining the absolute RF and DC parameters on the wafer. This method utilizes accurate knowledge of the complete cathode impedance and an accurate circuit model describing the observed impedance. Accurate knowledge of the cathode impedance allows the RF sensor to be remotely placed, in our case in the RF match box, so that complicated cathodes designs can be avoided. Extensive work has shown that knowing the RF parameters at the output of the match, and the impedance of the cathode, that the RF and DC parameters calculated at the wafer are in excellent agreement with those values actually measured on the wafer. This method then allows for accurate chamber matching, endpoint and process control. Voltage compensation of the electrostatic chuck voltage in order to offset the effects of DC bias is also determined from this integrated probe. Other features of the RF sensor will also be discussed.
3:20 PM MS-TuA-5 Fault Identification and Classification using a Plasma Impedance Monitor
M.P. Hopkins, K. O’Leary (Scientific Systems, Ireland)
Semiconductor production fabs regularly encounter faults which result in unscheduled tool downtime. Among these are real-time tool faults, preventative maintenance recovery problems and tool mis-matching at start-up and process transfer. This downtime can be reduced by applying a Fault Detection and Classification scheme where the core problem is identified as rapidly as possible, replacing the usual "trial-and-error" approach to fault identification. Scientific Systems have developed a non-intrusive, high-resolution impedance sensor which is designed to aid fault identification. The sensor is used to characterize a baseline process, operating within control limits, by measuring the Fourier components of RF voltage, current and phase. This results in a unique impedance fingerprint of the chamber. When a fault condition occurs, the impedance fingerprint varies in a predictable pattern. By comparing the fault fingerprint to the baseline, it is possible to classify faults through a diagnostic methodology. Using the system, hardware problems can be separated from process issues and changes in individual process inputs can be identified. We report a number of case studies where the system has been successfully deployed.
4:00 PM MS-TuA-7 Real-Time CVD Wafer State Metrology using a Downstream Acoustic Sensor
L. Henn-Lecordier, J.N. Kidder, G.W. Rubloff (University of Maryland); A. Wajid, C.A. Gogol (Inficon, Inc.)
An acoustic gas sensor, the Inficon ComposerTM, was implemented downstream in a production-scale tungsten chemical vapor deposition (CVD) cluster tool for process sensing to achieve real-time, in-situ film thickness measurements. Process gases were sampled at the reactor outlet and compressed with a diaphragm pump from the 10 Torr process pressure regime to above 50 Torr as required for gas sound velocity measurements in the acoustic cavity. Processes were carried out for various deposition times at 10 Torr, with a H2 / WF6 flow ratio of 6 to 1 and at wafer temperatures ranging from 300 to 450 °C. The sensor measures the average molecular weight of the gas mixture and is normally employed for control of inlet (upstream) gas flows into the reactor. For downstream applications, depletion of the H2 and WF6 reactants, as well as generation of the HF product, shift the gas composition with changes in reaction rate in the process. The high depletion rate of the WF6 precursor (i.e. 30% or more) during blanket W deposition induced a substantial variation of the average molecular weight of the exhaust gas mixture. By integrating the resonant frequency over the deposition time, real-time in-situ metrology signals were obtained which correlated to post-process thickness measurements within 1%. This makes the acoustic sensor attractive for advanced process control, either in run-to-run control or through real-time end point control.
4:20 PM MS-TuA-8 Thickness Metrology and Real-Time End Point Control in W CVD using in-situ Mass Spectrometry1
Y. Xu, L. Henn-Lecordier, T. Gougousi, G.W. Rubloff, S. Cho, Y. Liu (University of Maryland)
In-situ downstream mass spectrometry has been employed in W CVD processes for real-time reaction sensing, thickness metrology, and real-time end-point process control. In the silane reduction process for depositing W CVD from SiH4 + WF6 using an Ulvac ERA-1000 cluster tool, high reactant reactant conversion rates were obtained at 200-250°C. Both depletion of the SiH4 reactant and generation of the H2 and SiF4 reaction products provided real-time thickness metrology signals which correlated with post-process, ex-situ film thickness measurements with an uncertainty better than 2%. These metrology signals were used as end-points to terminate the process in real-time, leading to corresponding control of the mass spectrometry derived signal even in the presence of random run-to-run process fluctuations and systematic drift intentionally introduced as a run-to-run temperature drift. Actual film thicknesses as measured post-process were controlled to within 3% using this mass-spec-based end-point control. These results demonstrate that downstream mass spectrometry provides real-time thickness metrology suitable for real-time as well as run-to-run process control. Furthermore, the real-time end pointing capability enables compensation for random process fluctuations as well as systematic process drift.


1Present affiliations: Y. Xu (IBM Microelectronics, Hopewell Junction, NY); T. Gougousi (North Carolina State University, Raleigh, NC).

4:40 PM MS-TuA-9 In-situ FTIR Spectroscopy for Metrology of a Tungsten CVD Process
A. Singhal, L. Henn-Lecordier, J.N. Kidder (University of Maryland); C.A. Gogol, J.F. Kushneir (Inficon, Inc.)
A Fourier Transform Infrared Spectrometer has been employed for downstream detection of reactants and reaction products in a tungsten chemical vapor deposition process. The objective of this work was to monitor reactant and product concentrations for metrology of the deposition rate on the wafer. The spectroscopy system includes a novel compact interferometer and a heated optical cell with purged optics. Measurements of the gas composition directly downstream of the wafer were done using a pumped sampling system to extract gases from the low pressure CVD process to the optical cell. Experiments showed a linear correlation between the gas concentration in the deposition chamber and the intensity of absorption features detected at the sampling optical cell. In experiments with repeated wafer load/unload and process flow sequences there was no significant drift in the detected steady-state intensity of absorption peaks. In measurements done during deposition process runs at a range of conditions the intensity of absorption features associated with a reactant (WF6) and a principal reaction product (HF) were detected and correlated to the weight gain on the wafer for in-situ metrology of the deposition rate.
5:00 PM MS-TuA-10 New Mass Spectrometer without Fragment Ions for CVD In-situ Monitoring
Y. Shiokawa, M. Nakamura, K. Hino, T. Sasaki (Anelva Corporation, Japan)
In-situ gas monitoring of CVD depending on complicated reactions is more essential and has three objects; residual gases, materials and byproducts (precursors). Residual gases can be measured but the others are difficult by a conventional mass spectrometer using electron impact (EI) ionization. The reason is fragmentation; molecules with low binding energy for CVD are inevitably dissociated and become fragment ions. Therefore, we have developed a new apparatus as commercial product by means of Ion Attachment Mass Spectrometry (IAMS),1 in which a metal ion attaches to a molecule and the excess energy is so small that fragmentation could be avoided. First, we measured PFCs gases exhausted from a plasma-etching system.2 The mass spectra by IAMS showed every natural molecular ion corresponding to materials and byproducts, while not molecular ions at all but merely many fragment ions by EI. So, some diagnostics as well as monitoring was done. Next, we have applied to CVD. Some parts about gas introduction and metal ion emission have been improved because CVD gases are more adsorptive and adhesive. The apparatus, connected to the process chamber of Plasma-CVD, measured SiH4, NF3 and others as molecular ions. On the other hand, although a metallic-organic molecule for Cu deposition by MO-CVD has very low binding energy, its molecular ion was successfully detected. In-situ monitoring of MO-CVD using low vapor pressure materials is especially desired and seems to be realized by IAMS. We would like to present some examples of CVD in-situ monitoring throughout our talk.


1 T.Fujii, Mass Spectrometry Review 19 (2000) 111
footnote2 M.Nakamura et al JVST-A Vol.19,No4 (2001) to be published

Time Period TuA Sessions | Abstract Timeline | Topic MS Sessions | Time Periods | Topics | AVS2001 Schedule