AVS2000 Wednesday Afternoon

Sessions | Time Periods | Topics | Schedule Overview

Hover over a paper or session to view details. Click a Session in the first column to view session papers.
Session Wednesday, October 4, 2000
2:00 PM 3:00 PM 4:00 PM
BI-WeA
Fundamental Studies of Self-Assembling Monolayers as Model Systems for Biological Interfaces
Protein Adsorption and Cellular Responses to Polysaccharide Coatings
Film Formation of Self-assembled Monolayers of Thiol-modified Polyethylene Glycol on Gold
Synthesis and Characterization of Functionalized Polymerizable Diacetylene Containing Thiol Monolayers
Modification of Metal Oxide Surfaces for Biosensor and Biomaterial Applications Based on Assembled, Functionalized Poly(L-lysine)-g-poly(ethylene glycol)
Design and Characterization of Specific Biorecognition Interfaces using Derivatized Poly(L-lysine)-grafted-poly(ethylene glycol) Monolayers
Analysis of Protein Absorption on PEG-covered Silica Surfaces by ATR-FTIR
Combining Polymer Chemistry and Photolithography to Manipulate Gene Expression and Protein Synthesis
Investigation of Protein Interactions with Poly (Ethylene Glycol) Modified Liposomes
DI+
Materials Considerations for High-K Gate Dielectrics for Scaled CMOS
New High k Thin Films with Improved Physical and Electrical Properties
Chemical and Microstructural Separation of Homogeneous Plasma Deposited (ZrO2)x(SiO2)(1-x) films (x ≤ 0.5) into SiO2 and ZrO2 Phases after Rapid Thermal Annealing in Ar at 900°C
A Study of ZrO2 and Zr-silicate Thin Film for Gate Oxide Applications
Ultra-thin Zirconium Oxide Films Deposited by Rapid Thermal CVD for MOSFET Applications
High-quality Ultrathin Fluorinated Silicon Nitride Gate Dielectric Films Prepared by Plasma Enhanced Chemical Vapor Deposition Employing NH3 and SiF4
Elimination of Carbon Impurities in the Metalorganic Chemical Vapor Deposition (MOCVD) of Titanium Dioxide on Silicon
Microscopic Understanding of the Interface for the Heteroepitaxy of Crystalline Oxides on Silicon
Formation of Ultrathin Yttrium Silicate by Thermal Oxidation of Yttrium on Silicon
MI+
Spin-dependent Behavior in Magnetic / Semiconductor Heterostructures
MBE Growth of Ni2MnIn/InAs (001) Heterostructure
Investigation of the Microstructural Dependence of Magnetic Properties for MnSb/Bi Multilayers Grown on Sapphire
Ferromagnetic Fe/Ag-GaAs Waveguide Structures for Wideband Microwave Notch Filter Devices
Non-Volatile Reprogrammable Logic Elements using a Hybrid RTD-GMR Circuit1
Magnetization-Controlled Resonant Tunneling in Magnetic Heterostructures
MM-WeA
Surface Micromachining - Process Modeling
Application of Magnetic Neutral Loop Discharge Plasma in Deep Silica Etching
Application of Deep Silicon Etching and Wafer Bonding in the MicroManufacturing of Turbochargers and Micro Gas Turbine Engines
MEMS Fabrication Technology Applied to Large Area X-ray Image-sensor Arrays
Switching of Interfacial Energies in Polymeric Coatings
A Novel Anti-Stiction Method Using the Harmonic Excitation on the Microstructure
Control of Residual Stress in Thick Sputtered Metal Films
SiC Surface Micromachining Process Development and Device Characterization
Micro- and Nanotube Fabrication using Deposited Porous Silicon
MS-WeA
Integration Challenges for Copper Metallization with Low-k Dielectrics
Adventure of the first 300mm Pilot Line
Cluster Formation on Copper Evaporated Onto Dow Cyclotene 3022
FSG Film Characterization and Process Development for Copper/Damascene Technology
300mm Manufacturing Meterology Needs
NS+
Selective-Area Chemical Vapor Deposition Using AFM-Patterned Silicon Nitride Growth Mask
Fabrication of Nanoscale Templates by Chemical Lithography
National Nanotechnology Initiative: Overview
Electronic Conductivity and Thermoelectric Measurements of Bismuth Nanoline Structures
Quantum-wire Arrays Fabricated by a High-pressure High-temperature Injection Process
Transport Study of Single Bismuth Nanowire Fabricated by Silver and Silicon Nanowire Shadowmask
Conductance Anisotropy in a Mesoscopic Array of Atomic Wires: Ga/Si(112)1
Time Evolution of Ag Nanowires Grown on Ag/GaAs(110) Surfaces
Ion Implanted Contacts to Nanostructures and Metallic Monolayers on Clean Surfaces
OF-WeA
Rates of Interfacial Electron Transfer Across Well-Defined Model Interfaces
Charge Transfer in Alkanethiol Self-Assembled Monolayers on Au Surfaces
Low Energy Electron Microscopy Studies of the Growth of Thin Pentacene Films
Self-assembled Monolayers on Silicon Surfaces via Si-O Linkages
Friction Force Microscopy Study on Photodegradation of Organosilane Self-assembled Monolayers Irradiated with a Vacuum Ultraviolet Light at 172 nm
Generation of Reactive Intermediates on Platinum by Photolysis of Pyridyl-α-Diazocarbonyl Self Assembled Monolayers
X-Ray Induced Changes in Thiol-SAMs on Gold
Surface Stress of Self-Assembled Alkanethiols on Gold-Coated Cantilevers
Self-assembled Monolayers of 6-Phenyl-n-Hexanethiol and 6-(p-Vinylphenyl)-n-Hexanethiol on Au: An Investigation of Structure, Stability and Reactivity
PS1+
Supervision of Plasma Processes using Multiway Principal Component Analysis
Sensors and Control in Plasma Processing
Run-to-Run and Real Time Process Control of Plasma Processes using an Inductive Antenna with Microsecond Resolution
Improved Utility of Microwave Energy for Semiconductor Plasma Processing through RF System Stability Analysis and Enhancement
Modeling and Real-time Control of RF Diode Sputtering for GMR Thin Film Deposition
Productivity Solutions for Eliminating Within-Wafer and Wafer-to-Wafer Variability in a Silicon Etch Process through Plasma and Surface Diagnostics
Source Optimization for Magnetron Sputter-Deposition of NbTiN Tuning Elements for SIS THz Detectors
Multiwavelength In-Situ Ellipsometry for Optical Coatings Fabrication: Optimal Control Strategies and Results
Low Open Area Endpoint Detection of Plasma Etching Processes - Limitations and Signal to Noise Characterization
PS2-WeA
Undercut and Bowing Characterisation in High Aspect Ratio Trenches of Poly-Si Etched in an ICP Reactor using Cryogenic SF6/O2 Chemistry Process
Origin of Sidewall Deposition during Cl2/O2 Etching of Sub Micron Features in Inductively Coupled Plasma Reactors
Profile Simulation of Poly-Silicon Gate Features Etched with Cl2/HBr/O2 Plasmas
Feature Profile Evolution during Pulsed Plasma Etching: Effects of Redeposition of Time-Dependent Etch Products
A Model for Si Etching in an Inductively Coupled SF6/C4F8 Discharge
An Integrated Model for Oxide Etch using Fluorocarbon Plasmas
Modeling of Trench Filling During Ionized Metal Physical Vapor Deposition1
Process Characterization for Tapered Contact Etch
Understanding the Evolution of Trench Profiles in the Via-First Dual Damascene Integration Scheme
Microtrenching, Etching and Sidewall Passivation in Contact Holes and Edge Regions
SC+
Si-Ge Heterostructures
Critical Behavior of Epitaxial Si1-xGex/Si(001) Islands
Growth of Coherent Three-dimensional Si Islands on Ge(111)
Adatom Assisted Stabilization of Ad-dimers on Ge(001)
C Incorporation during the Growth Of Ge1-yCy/Ge(001) from Hyperthermal Beams
Growth and Characterization of Metastable Ge1-xCx Thin Films on Si(100) Substrate.
Electrical and Optical Properties of Silicon : Germanium Alloys prepared by DC Magnetron Sputtering
Preparation and Characterization of Highly Li-doped a-Se Alloy Films for Thermal Neutron Detectors
Instability in Atomic Step Morphology during the Sublimation of Si(111)
SS1+
Metal/Metal-Oxide Interactions and Structures in Bulk Truncated and Thin Film Systems --The Theoretical View
Ultrathin Fe Oxides on Cu(001): a Search for Half-Metallic Films
Low Temperature Microcalorimetric Heats of Adsorption and Sticking Probabilities of Metals on Oxide Surfaces
Electronic Structure of Grain Boundaries in TiO2 from Ab-Initio Calculations
Surface Defects on MgO Thin Films: Formation, Detection, Electronic and Chemical Properties
Metallic Electronic States on SrTiO3 (110) Surface -- An In Situ Conduction Measurement
First-Principles Calculations on Al2O3/TiC Growth and Interface
Self-Diffusion in Ceria
Defect Characterization on MgO(100) Using Adsorption of Small Molecules
SS2+
The Role of Two-dimensional Compressibility in Physisorption, Competitive Adsorption and Dynamic Displacement
Molecular Adsorption and Growth of n-butane Adlayers on Pt(111)
Optical Effects of Monolayer and Multilayer Adsorption: Formic Acid and Methanol on Cu(100)
Precursor-mediated Dissociation and Trapping Desorption of Oxygen on Cu(001)-2√2x√2-O
The Effect of Deposition Pressure on Adsorbate Structure and Coverage: Oxygen on W(110)1
STM Investigation of Benzene Adsorption on Ag(110)
Scanning Tunneling Microscopy and Spectroscopy of Metal Tetraphenylporphyrins on Au(111)
Theory of Oxygen Adsorption on Ag(111): A DFT-GGA Investigation
Adsorption of Propylene on Clean and Oxygen Covered Au(111) and Au(100)
SS3-WeA
Adsorbate Structure Determination on Surfaces using Normal-Incidence X-ray Standing Waves
Oriented Quantum Dots By Buffer Layer Growth Process
Direct Observations of Ordered Domain Structures and their Dynamics : Pb on Cu(111)1
Normal Incidence X-ray Standing Wave and Medium-energy Ion Scattering Investigation of the Structure of Ultra-thin Films on Cu(111)
Low Energy Dynamics for S/Cu
Does Adsorbed Oxygen Change the Electron Density in Cu?
Soft X-ray Photoelectron Spectroscopy Studies of Faceting and Alloying for Ultra Thin Films of Ruthenium on W(111) and W(211)
Oxygen Induced Faceting of Ir(210)
Evolution of Ni(110) Surface with Low Energy Ion Sputtering
TF+
Monitoring of Thin Film Metallization by Metastable He Atom Scattering
Ultraviolet Absorption Spectroscopy of Polytetrafluoroethylene Deposition by Pyrolytic CVD
Near-edge Valence Band Structure of Amorphous Hydrogenated SiC Thin Films by a Combined use of Auger and Photoemission Processes
Growth of Oxygen-rich Films on Ru(0001)
In-situ Characterization of Thin Film Growth
Characterization of the Phase Evolution of Boron Nitride Thin Films using Real Time Multichannel Ellipsometry from 1.5 to 6.5 eV
Deposition of Hard Amorphous Hydrogenated Carbon Films from Hyperthermal Hydrocarbon Radicals, Studied by In Situ Real Time Infrared Spectroscopy
In-Situ Monitoring of Adsorption and Film Growth Using Infrared Reflection Absorption Spectroscopy
Investigation of the Subsonic Beam behaviour of an Expanding Thermal Plasma used to Deposit Silicondioxide like Films
VT-WeA
A Test Problem for the Holweck Pump
Significance of Bulk Flow Velocity for Turbopump Design
A Quantitative Test of Slip-Flow Theory using the Spinning Rotor Gauge
Power Dissipation in Gaede Stages of Turbomolecular-drag Pumps in Viscous High-pressure Regime
Modelling Micro-Channel Flows with DSMC and a Particle Continuum Method
Flow of Moderately Rarefied Gases Through Short Circular Tubes
A Method for Calculation of Gas Flow in the Whole Pressure Regime Through Ducts of Any Length
Conic Peak/Dimple Roughness Model for Explaining the Reduction of Flow Rate through Passages with Rough Walls
Free Jets in Vacuum Technologies
Sessions | Time Periods | Topics | Schedule Overview