AVS2000 Thursday Afternoon

Sessions | Time Periods | Topics | Schedule Overview

Hover over a paper or session to view details. Click a Session in the first column to view session papers.
Session Thursday, October 5, 2000
2:00 PM 3:00 PM 4:00 PM
BI+
Substrate and Attachment Chemistry Effects on Adsorption and Single-Base Mismatch Discrimination on Immobilized Oligonucleotide Arrays
Investigation of DNA Hybridization on Surfaces by Surface Plasmon Fluorescence Spectroscopy (SPFS)
Fluorescence Detection of Surface DNA Hybridization Reactions Based on Surface Structural Changes
Silicon Surface Chemistry for DNA Immobilization
BARC: A Magnetoresistive Biosensor1
A Biosensor Based on Force Differentiation1
Encapsulation of Smart Polymers in Silica: Stimuli-Responsive Porous Hybrid Materials That Incorporate Molecular Nano-Valves
Adsorption Behavior and Optical Properties of Surface-Adsorbed Polystyrene Nano Particles
Formation and Patterning of Supported Fluid Lipid Bilayers on a High Refractive Index Substrate
DI+
High Density Thin Film Ferroelectric Nonvolatile Memories
Process Window Extension of TiN Diffusion Barrier using Pre-oxidation of Ru and RuOx Film for (Ba,Sr)TiO3 Dielectric Film
Atomic Polarization and Screening Charge by Variable Temperature Scanning Probe Microscopy of Ferroelectric Surfaces
Film-formation Mechanisms, Microstructure, and Properties of BST Thin Films Grown By MOCVD
Epitaxial (Ba,Sr)TiO3 on MgO for Room Temperature Microwave Phase Shifters
High Temperature Etch Processing for FeRAM MFM Capacitor Stack Fabrication
MC-ThA
In-situ Control of Wet Chemical Etching of Patterned Bulk-GaAs using Real Time Spectroscopic Ellipsometry
In- and Ex-situ Characterization by Second-harmonic Generation of the RPECVD Oxidation and Nitridation of Silicon and Ex-situ Comparisons with Other Optical Techniques
What Is Needed and What Is Practically Available for Small Area, Small Depth, Chemistry Sensitive Analysis in the Semiconductor Wafer Processing Industry
Dopant-Induced Contrast of Si Devices in PEEM
Elemental Mapping of Sub-µm Particles and Structures by LASER-SNMS and TOF-SIMS
Dose Quantity Effects on Nano-scaled Dot Size and Depth Profile of Gallium Implantation on Silicon by Finely Focused Ion Beam
Ion Channeling Effects on the FIB Milling of Crystalline Materials
XPS Analysis of Si Samples Prepared Using the FIB Lift-Out Technique
Determining Area Selectivity in Small-Area XPS Analysis1
MI-ThA
The Determination of Magnetostriction for Spin-Valve Devices with 5.0 nm and 10.0 nm Permalloy Layers
Properties of GMR Multilayers Grown by RF Diode Sputtering
GMR Sensing Elements for the Detection of Magnetic Microbeads in Biosensor Array
Preparation of Magnetic Tunnel Junctions by Ionized Atom Beams
Nonlinear Magneto-Optical Investigations of Magnetic Interfaces
"Acoustical" and "Optical" Spin Modes of a Fe/Cr/Fe/Cr/Fe Multilayer with Ferro- and Anti-ferromagnetic Couplings
Analysis of Tunneling Magnetoresistance Structures by Low Energy Electron Nanoscale Luminescence Spectroscopy
Magneto-optical and Optical Spectroscopies of Fe/Si Multilayered Films
MM-ThA
Stress Measurement in MEMS Devices
Issues in MEMS Reliability and Characterization
MOCVD PZT as a Pathway to Integrated Piezoelectric MEMS
Deposition of Highly Oriented LiCoO2 Thin Films for Use as Cathodes in Thin Film Batteries
Microstructure and Mechanical Properties of Polysilicon and Poly-SiC Films for MEMS
Comparison of In-situ Boron-doped and In-situ Phosphorus-doped Polysilicon Films for Microelectromechanical Systems
Investigation of the Friction and Adhesion Properties of BPT and BPTC Self-assembled Monolayers by AFM
Viewing a Moving Surface Contact: An STM-QCM Study of Vapor Deposited Films on Metal Surfaces
MS-ThA
Challenges in Modeling & Simulation of Semiconductor Equipment & Processes
Modeling of Oxide CMP and Polish Pad Conditioning
Mechanical Properties of a Cu­Ta Interface by Molecular Dynamics
Integrating Process Models, Equipment Logistics, and Factory Flow for Manufacturing Systems Optimization
Plasma Reactor Simulation to Improve Film Deposition Uniformity
First Principles Modeling of Gas-Surface Interactions in Low Pressure CVD Processes
Etching of Silicon in HBr Plasmas for High Aspect Ratio Features
Contamination Removal from Wafer with Deep Trenches
In Situ Metrology for Cu Electroplating
NS+
Optical Sieves - How to Get the Light through Subwavelength Holes
Near-field Optical Measurements of Two Types of the Super-resolution Near-field Structures
Near Field Surface Photovoltage Microscopy
Dual-Wavelength Scanning Near-Field Optical Microscopy
Novel Scanning Near-field Optical Spectroscopy/Atomic Force Microscope Probes with High Polarisation Single/Double Slit and Cross Aperture Tips
Optical Properties of Strained GaInP/InP Quantum Dots Studied with STM Based Electro-luminescence
Silicon Nanostructures via Intense Ultrafast Electronic Excitation
Microstructural and Optical Properties of Porous SiC
Development of a Photon Detection STM for Inelastic Light Emission
PS1-ThA
Plasma Surface Modification of PET and Acrylic Coating Surfaces
Exploring Chemical Mechanisms behind Hydrophilic Surface Modification of Polymeric Membranes by Low-temperature Plasma Treatment
The Mechanisms of Anisotropy Control in Plasma Etching Processes
Pulsed Plasma Polymerisation of Acrylic Acid
Control of Ion Energies in RF Plasmas used for the Surface Modification of Polymers
Surface Chemical Patterning by Plasma Polymerization
The Relationship between Deprotection and Film Thickness Loss during Plasma Etching of Chemically Amplified Resists
Study of Defects Induced on Graphite Surface by Low Pressure Argon Plasma
Novel Technique to Enhance Etch Selectivity of Carbon ARC over PR based on O2/CHF3/Ar Gas Chemistry
PS2-ThA
Ion Energy Control for Enhanced Plasma Etch Selectivity
Temperature and Bias Effects in ICP Etching of Silicon Dioxide
Control of Incident Fluxes and Surface Reactions in the Etching of Dielectric Materials
Reaction Mechanisms and SiO2 Profile Evolution in Fluorocarbon Plasmas: Bowing and Tapering1
Etching Mechanism of Silicon Nitride Film in Self-aligned Contact Etching Process
High-performance Silicon Dioxide Etching for High-aspect Contact Holes
Selective Etching of SiO2 in High Density Fluorocarbon Plasmas for Applications in Micro-systems
Trench Etch Processes for Dual Damascene Patterning of Low-k Dielectrics
SC+
Kinetics of GaN Growth and Decomposition
Carbonization of Si (111) by Gas Source Molecular Beam Epitaxy using Triethyl Gallium and Subsequent GaN Growth
Epitaxial III-V Nitride Growth on SiC(0001) by Means of A3Σu+ Metastable Molecular Nitrogen
Crystal Growth Kinetics and Transport in GaN Epitaxial Lateral Overgrowth
Thick GaN on Si Substrate by Hydride Vapor Phase Epitaxy using Epitaxial Lateral Overgrowth Technique
Growth and Electronic Structure of ScN, a New Refractory III-V Semiconductor
Structure and Optical Properties of ScN(001) Grown by Molecular Beam Epitaxy
ScN Thin Films and Thin Film Devices
SS1+
Real Time TEM Observations of the Oxidation of Silicon
Comparison of Electrochemical Corrosion Properties and Oxidation Kinetics of Ozone- and Oxygen-formed Aluminum Oxide Films
Temperature Dependent Adsorption of Oxygen on TiO2 (110): A Photoemission Study
Water Reactions at Plutonium Oxide: Surface Chemistry
Studies of Water Plasma Treatments on Silicon Oxide Films
The Nature of Oxygen at Rocksalt and Spinel Oxide Surfaces
Direct Observation of Native Hydrogen Adatoms on Rutile TiO2(110) Surface
Work Function Changes and Surface Chemistry of Oxygen and Hydrogen on Indium Tin Oxide
Adsorption of Atomic Hydrogen on Both Polar Surfaces of ZnO
SS2+
Friction at High Speeds1
Energy Dissipation Thresholds During Interfacial Shear
Chemical and Mechanical Contributions to the Friction In Self-Assembled Monolayers on Au Surfaces
Atomic and Molecular Level Insight into Discreet Frictional Events
Molecular Tribology of Highly Ordered Monolayers
The Effect of C60 on Interfacial Friction and Wetting of Toluene
Mechanical Behaviour of Confined Films: An In-situ Study of Silane Monolayers by Second Harmonic Generation (SHG)
New Aspects of Friction Force Microscopy in Ultra-high Vacuum
TF-ThA
Criteria for Choosing Transparent Conductors
Preparation of Transparent Conducting Thin Films using Multicomponent Oxides Composed of ZnO and V2O5 by Magnetron Sputtering
Properties of Transparent conducting CdO-In2O3-SnO2 Thin Films Prepared by Pulse Laser Deposition (PLD)
Expanding Thermal Plasma Deposition of Natively Textured ZnO for Thin Film Solar Cell Applications
Effects of Excess Oxygen Introduced during Sputter Deposition and Post Annealing under a High Oxygen Pressure on Carrier Mobility in Indium-tin Oxide Films
Preparation and Properties of Transparent Conductive Aluminum-doped Zinc Oxide Thin Films by Sol-Gel Process
Synthesis and Characterization of TCO Cobalt-Nickel Spinel Films
Effect of Vacuum Deposited Polymer Substrate Roughness on ITO Electrical and Optical Properties
Properties of Sol-Gel Prepared (ZnO)y(CdO)1-y Thin Films, with Low Concentrations of Cd in Solution
VT-ThA
A Practical Guide to the use of Bayard-Alpert Ionization Gauges
The Ultimate Resolution of Commercial Spinning Rotor Gauges
Extending the Upper Pressure Limits of Cold-Cathode Gauges
An Absolute Vacuum Gage Based on the Q Value of the Vibration of a Silicon Micro Cantilever
Performance of the Axial-symmetric Transmission Gauge Improved for the Measurement of Wide Pressure Range
Study of Thermal Transpiration of Capacitance Diaphragm Gauge by DSMC Method
Intelligent Flow Measurement and Control
Summary of the Extreme High Vacuum and Surface Conditioning Workshop
Sessions | Time Periods | Topics | Schedule Overview