AVS2000 Session PS-FrM: Dielectrics II

Friday, October 6, 2000 8:20 AM in Room 310

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule

Start Invited? Item
8:20 AM PS-FrM-1 Pulsed-PECVD Organosilicon Films for Use as Insulating Biomaterials
H.G. Pryce Lewis (Massachusetts Institute of Technology); D.J. Edell (InnerSea Technology); K.K. Gleason (Massachusetts Institute of Technology)
Thin films produced by plasma-enhanced chemical vapor deposition (PECVD) have potential application as conformal coatings on implantable devices with complex topologies and small dimensions. Coatings on such devices need to be biocompatible, insulating, and flexible enough to minimize static forces on the surrounding tissue. In this study, we describe the use of pulsed-PECVD to deposit thin films from hexamethylcyclotrisiloxane (D3). Pulsed-PECVD is a method in which plasma excitation is modulated to favor deposition from neutral and radical species. Thin, conformal coatings were demonstrated on nonplanar substrates suitable for implantation, such as copper wires and neural probes. Coatings were resistant to prolonged immersion in warm saline solution, and wire coatings produced by pulsed-PECVD showed more flexibility than analogous coatings deposited by continuous-wave (CW) excitation. Using Fourier Transform Infra-Red (FTIR) spectroscopy, it was demonstrated that the mode of plasma excitation is important in determining film structure. Both CW and pulsed-PECVD showed evidence of crosslinking via ternary (T) and quaternary (Q) silicon atoms bonded to more than two oxygen atoms. Methylene groups were observed only in CW films, and may constitute part of a carbon crosslinking unit of the form Si-(CH2)n-Si, where n≥1. Methylene was not detectable in the pulsed-PECVD films, suggesting that formation of carbon crosslinks requires a longer plasma decomposition period. The presence of two distinct crosslinking structures in CW films leads to a highly networked structure and results in brittle coatings on thin wires. A higher proportion of terminal methyl groups was also observed in CW films, suggesting that pulsed-PECVD films may retain more precursor ring structure than CW films.
8:40 AM PS-FrM-2 Kinetic Suppression of Process Gas/Silicon Substrate Reactions During the Remote Plasma-assisted Deposition of Al2O3 and Ta2O5 on Hydrogen Terminated Silicon Substrates
R.S. Johnson, H. Niimi, J.G. Hong, G. Lucovsky (North Carolina State University)
Deposition of alternative gate dielectrics such as Al2O3, Ta2O5, Zr(Hf)O2 and Zr(Hf)O2-SiO2 alloys onto H-terminated silicon by chemical vapor deposition, CVD, direct plasma-enhanced CVD, and/or atomic layer deposition, is generally accompanied by subcutaneous growth of interfacial SiO2 or metal silicate layers that significantly increase equivalent oxide thickness, EOT. Previous studies have shown that silicon substrate/process gas reactions could be effectively suppressed in remote plasma-enhanced CVD, RPECVD, of SiO2, Si3N4 and Si-oxynitride alloys by deposition reaction pathway control. Two aspects of RPECVD contributing to suppression of substrate reactions are i) downstream injection of silicon and metal atom precursors which prevents their fragmentation into reactive species in the gas phase, and ii) fast CVD reactions between unexcited precursors and upstream, plasma-activated oxygen with growth rates > 3-5 nm/minute. Substrate/process gas reactions have been studied by interrupted RPECVD processing-analysis cycles using on-line Auger electron spectroscopy, AES, in a UHV-compatible multi-chamber system. RPECVD of Al2O3 and Ta2O5 on H-terminated Si, using metal-organic precursors with deposition rates > 5.0 nm/minute effectively suppresses substrate/process gas reactions. Based on AES spectra and capacitance-voltage data, subcutaneous interfacial growth contributes less than 0.5 nm to EOT. In contrast, attempts to deposit ZrO2-SiO2 alloys by RPECVD from a Zr(IV)-t-butoxide source at significantly reduced deposition rates, < 1 nm/minute, leads to Si substrate/process gas reactions with Zr silicate subcutaneous interfacial layers adding ~ 1-2 nm to EOT.
9:00 AM PS-FrM-3 Simulation and Dielectric Characterization of Reactive DC Magnetron Co-sputtered (Ta2O5)1-x(TiO2)x Thin Films
J. Westlinder, Y. Zhang, F. Engelmark, H.-O. Blom, G. Possnert, S. Berg (University of Uppsala, Sweden)
New capacitor material with high dielectric constant is needed for future integrated capacitor structures. Tantalum pentoxide (Ta2O5) is considered as one of the most promising candidates. By incorporating titanium into the Ta2O5 thin film, the already excellent electric and dielectric properties are believed to improve even further. In this work, thin films of (Ta2O5)1-x(TiO2)x have been grown utilizing reactive DC magnetron co-sputtering of tantalum and titanium in an argon/oxygen atmosphere. By varying the input power to the targets, the composition of the thin film can be controlled. The composition of the films was analyzed with Elastic Recoil Detection Analysis (ERDA) revealing the titanium oxide content (x ranging from 0 to 0.40). The dielectric constant, leakage current and breakdown voltage as well as the refractive index has been measured for different compositions and will be presented. The films are amorphous as-deposited, showing some degree of short range order. To be able to further investigate what influence that has on the dielectric properties of the material, films have been annealed at different temperatures. Data from both simulations and experiments of the dual-target reactive sputtering system will be presented.
9:20 AM PS-FrM-4 Plasma Enhanced Chemical Vapor Deposition of Zirconium Oxide: Spectroscopic, Material and Device Characterizations
J.P. Chang, B. Cho, D. Bae, L. Sha (University of California, Los Angeles)
As metal-oxide-semiconductor devices continue to shrink in dimensions, high dielectric constant materials such as zirconium oxide1 are needed in both transistor and capacitor structures for improved charge storage and reduced leakage current. In this work, zirconium t-butoxide (Zr(OC4H9)4) is used with O2 to deposit zirconium oxide on silicon in a high density Electron Cyclotron Resonance reactor. The gas phase reactions including the decomposition of precursors are investigated using optical emission spectroscopy (OES). Optical emission intensities from the atomic (Zr, C, O and Ar) and molecular species (ZrO, CH and CO) are recorded and quantified as a function of process parameters such as gas flow rates, process pressure, source power, and substrate temperature. Langmuir probe is used to determine the plasma potnetial, the electron density, ne, and electron temperature, Te. Various surface analysis techniques including X-ray photoelectron spectroscopy and X-ray diffraction are used to analyze the composition, chemical states, and crystalline structure of the deposited ZrO2 films. These measurements are combined to propose realistic gas-phase and surface reaction mechanisms. The effect of in-situ post-deposition annealing on surface composition and film morphology is also investigated at 500-700°C in various ambient, including N2, Ar, and O2. NMOS transistors and MOS capacitors of a poly-Si(200nm)/ZrO2/Si structure are fabricated and tested to determine the dielectric constant, leakage current, I-V and C-V characteristics of ZrO2. Moreover, stress induced leakage current and time dependent dielectric breakdown are also investigated to determine the material reliability for the application of ZrO2 in microelectronics.


1 D. J. Hubbard and D. G. Schlom, "Thermodynamic stability of binary oxides in contact with silicon", Journal of Materials Research, 11(11), 2757(1996).

9:40 AM PS-FrM-5 Etching of High-k Dielectric Zr1-xAlxO Films in Chlorine-containing Plasmas
K. Pelhos, V.M. Donnelly, A. Kornblit, M.L. Green, R.B. Van Dover, L. Manchanda, Y. Hu, M.D. Morris, J.E. Bower (Bell Laboratories, Lucent Technologies)
As new, advanced high-k dielectrics are being developed to replace SiO2 in future generations of microelectronic devices, understanding their etch-characteristics becomes vital for integration into the manufacturing process. We report on the etch rates and possible mechanisms for one such dielectric, Zr1-xAlxO (x > 0.2-0.35), in plasmas containing a mixture of Cl2 and BCl3, as a function of gas composition and ion impact energy. Higher concentrations of BCl3 enhance the etch rate as well as selectivity of Zr1-xAlxO etching as compared to the etching of Si, whereas increasing ion energy increases the etching rates but decreases selectivity. In a high density helical resonator plasma, etching rates on the order of 700 Å/min and 1:1 selectivity are typical. Angle-resolved XPS was used to study the composition of the upper ~30Å of the film, before, during and at the end of the etching process. The as-deposited film is found to be enriched in Al near the surface (x>0.5). During etching the surface remains slightly Al enriched (5% over the bulk concentration). The adsorbed B concentration (>50at%) in the surface region far exceeds the gas phase composition (<25at%), and the light B+ ions are driven subsurface (~5Å) during etching. Near the end of the etch process Zr and Al clear the surface at the same rate, while a thin layer of oxide (~20Å) persists. This oxide is likely formed in the deposition process rather than during etching.
10:00 AM PS-FrM-6 CVD BST (Bax√sub 1-xTiO3) Etching Characteristics in Inductively Coupled Ar/Cl2 Plasma
I.-Y. Kwon, H.-S. Shin, J.W. Kim (Hyundai Electronics Industries Co. Ltd., Korea)
We investigated the etching characteristics of CVD BST film with Ar/Cl2 gas chemistry in ICP reactor. The changes of BST etch rate with various etching parameters such as gas mixing ratio, source power, bias power, pressure, and total flow rate were systematically examined. And, electron density, electron temperature, ion density, and plasma potential of Ar/Cl2 plasma were measured with L/P, and also representative ions and neutrals in the plasma were analyzed by OES, RGA, and SIMS. XPS was employed for the surface analysis of BST film. Upon varying Ar/Cl2 gas mixing ratio, the maximum BST etch rate was observed at 40% Cl2. It was generally regarded that Cl2 gas assisted BST etching chemically by the formation of some chlorides on the BST surface. XPS results showed that peak shifts including Ti, Ba, Cl were induced by the formation of chlorides. However, Ti remaining on the BST surface was decreased with increasing Cl2 gas until 40%, and then saturated above 40% Cl2. And, the changes of Ti, Ba, Sr and Cl ions and neutrals with Ar/Cl2 ratio showed same saturation tendency by OES and QMS analysis. Therefore, it is estimated that the chemical etch portion of Cl2 gas in BST etching is saturated at 40% Cl2. On the other hand, ion saturation current decreased dramatically as the Cl2 gas addition to Ar gas, and relative DC-bias and ion energy in plasma decreased with increasing Cl2 gas above 40%. Therefore, it is also estimated that the physical sputtering with Ar/Cl2 gas ratio rapidly decreases over 40% Cl2 addition. Based on these results, it could be thought that the BST etch rate increases by chemical etch portion of Cl2 gas under 40% Cl2, and then is determined by physical sputtering above 40% Cl2 due to the saturation of chemical etch portion. So, we propose chemically-assisted physical sputtering as a etch mechanism of BST film in Ar/Cl2 plasma.
10:20 AM PS-FrM-7 Hardmask Characterization for Polysilicon Gate Patterning
F.G. Celii, C. Gross, S. Detweiler, B. Trentmann, K. Kim, W.D. Kim, H.-Y. Liu, R.T. Laaksonen (Texas Instruments, Inc.)
To pattern sub-0.10 µm structures required for next-generation poly-Si gates, advanced etch techniques must complement current lithography methods. One approach uses photoresist line-narrowing combined with an etch hardmask. The hardmask suitability is determined by the poly-Si etch resistance, the optical properties (if used as an anti-reflection coating (ARC) layer) and integration issues (e.g., cleanup and cost). We report the characterization of silicon-rich nitride (SRN) and silicon oxynitride (SiON) films used in poly-Si gate patterning. SiON and SRN films were deposited by PECVD in commercial 200 mm reactors. Film composition was measured by RBS and HFS spectroscopies. Typical SiON films contained ~40% Si and O, with ~20% H. Blanket films of 200 3000 Åthickness were characterized optically by FT-IR spectroscopy over 400 - 4000 cm-1 and variable-angle spectroscopic ellipsometry (VASE), over 190 - 1000 nm. The VASE data provides optical constants at lithography wavelengths (193, 248 nm) of the various film compositions. We characterized patterned films by CD swing curves, in which in-line pre-etch critical dimension (CD) measurements are plotted vs. the photoresist (PR) thickness. Reflectivity minima below 1% were calculated using determined optical constants for PR/SiON/Si with SiON thicknesses at 325 Å and ~1000 Å. Etch properties and patterned profiles will also be summarized.
10:40 AM PS-FrM-8 Selective, Anisotropic and Damage-Free SiO2 Etching with a Hyperthermal Fluorine Atom Beam
D.B. Oakes, W.G. Lawrence, A.H. Gelb (Physical Sciences Inc.)
The SIA Roadmap for semiconductors calls for the introduction of neutral beam etching processes in future device generations. Specifically, neutral beam tools are required for silicon dioxide (SiO2) etching in the formation of the gate dielectric and contact and via holes. The need for neutral beam tools arises from the combination of space-charge limitations on etch anisotropy and etch induced damage due to the charge content of the beam. The objective of this project is to demonstrate a neutral beam tool that selectively and anisotropically etches SiO2. Physical Sciences Inc's FASTTM plasma technology was used to produce hyperthermal fluorine atom beams with tunable translational energy in the range, 1 to 15 eV. This unique technology accesses an energy range that can facilitate efficient, high rate etching of certain materials without the use of ions that produce damage. The SiO2 etching study included the first measurement of the velocity and temperature (20 to 200 C)dependence of atomic fluorine reacting with SiO2 in the 1 to 10 eV translational energy range. The data suggests two reaction mechanisms contribute to etching under these conditions. Etching near 1 eV includes a significant thermal component while etching near 10 eV is dominated by a direct process, dependent on the fluorine atom translational energy. Under conditions in which the direct process dominates, anisotropic etching has been demonstrated. Both the selectivity and anisotropy of SiO2 etching are dependent on the discharge chemistry. Selectivity ratios of 7:1 for SiO2 etching versus both silicon and photoresist were demonstrated. Anisotropies of etching profiles exceeded 20:1. Future work will seek to improve both the selectivity and anisotropy of the process and will address scale-up of the tool for 300 mm wafer etching. Application of the FAST technology to low k dielectric etching based upon organic materials will also be discussed.
11:00 AM PS-FrM-9 A Downstream Plasma Etching Model Used to Describe the Etching Mechanisms of Low-k Polymers
R.R.A. Callahan, G.B. Raupp, S.P. Beaudoin (Arizona State University)
Future integrated circuit manufacturing will require new materials to yield improved circuit performance and meet increasingly stringent environmental regulations. One novel material under current study is an organic polymer, parylene. Parylene is being investigated as an alternative low-k dielectric material because it offers both environmental and performance advantages over the current dielectric, silicon dioxide. From an environmental perspective, parylene-n is desirable because it can be etched using oxygen instead of perfluorinated compounds (PFCs) typically used for dielectric etching. This will reduce greenhouse gas emissions. From a performance point of view, parylene is a lower dielectric constant material than silicon dioxide. The use of parylene-n may ultimately reduce cross-talk and RC time delays. In lieu of reactive ion etching, downstream etching using a microwave source has been studied in order to characterize the etching mechanism without ion interactions for three different types of parylene; parylene-N, parylene-C, and fluorinated parylene. The apparent activation energy for the etching process has been observed to range from 6.41 to 7.64 kcal/mol at various pressure settings. Etch rate has been determined as a function of pressure ranging from 0.4 to 2.0 Torr and oxygen flow rate ranging from 25 to 225 sccm and applied plasma power of 250 watts. In addition to experimental work, the etching process has been modeled. The total model includes predictions of: 1) the velocity distribution in the afterglow region, 2) the oxygen atom concentration in the plasma, 3) the oxygen atom concentration in the afterglow region, and 4) the oxygen atom concentration at the surface of the sample. The model has been validated using nitrogen dioxide titration. The model validation, the model predictions, and their implications for parylene etching will be presented.
11:20 AM PS-FrM-10 Surface Studies of the Etching of Low-k Hydrogen SilsesQuioxanes (HSQ) Dielectrics under Medium and High Density Plasma Conditions
C.N. Ho (Nanyang Technological University, Singapore); C.H. Low, P. Yelehanka, A. Cuthbertson, A. See, L.H. Chan (Chartered Semiconductor Manufacturing Limited, Singapore); G. Higelin (Nanyang Technological University, Singapore)
The need for RC delay reduction, arising from device scaling and increased interconnect complexity, leads to the evolution of vast varieties of low-k inter-metal dielectrics. Doped oxide is the more established group being studied due to its similar composition as SiO2. However, issues associated with the film stability, have to be resolved before successful integration of these materials into the multi-level interconnect scheme is achieved. In this work, the chemical aspect of the etching of FOx, one type of SiO2-like HSQ materials, is evaluated as part of the feasibility studies towards successful low-k IMD integration. In our previous studies, it was demonstrated that FOx IMD vias can be successfully etched under both medium (MDP) and high density plasma (HDP) conditions. Comparative studies on physical performance were performed. Typically, less FOx sidewall bowing was observed in the case of HDP. In addition, higher FOx etch rate and less FOx removal during in-situ photoresist strip (PRS) can be observed. However, higher Si-H loss is induced as shown by FTIR studies. In this work, we perform surface studies using XPS techniques on patterned wafers etched with MDP and HDP source. The surface chemical composition of partially etched TEOS and FOx as well as the post-resist-stripped etched surfaces is investigated through detailed peak de-convolution analysis. In general, higher C1s with negligible Si2p & O1s signal can be observed on both TEOS and FOx surfaces etched under HDP condition. This suggests the presence of a large amount of CFx polymer. De-convolution of the C1s signal further indicates difference in the composition, particularly C/F ratio, of polymeric species formed under MDP and HDP condition. After O2 treatment, significantly high Si2p and O1s signal can be observed, indicating oxidation of the etched surface. However, in-situ PRS exhibits additional capability in removing the polymer residues.
11:40 AM PS-FrM-11 Planar-Antenna Structure UHF-ECR Plasma for Highly Selective Insulator Film Etching
K. Yokogawa, M. Izawa, S. Yamamoto, N. Negishi, Y. Momonoi, H. Kawahara, M. Kojima, K. Tsujimoto, S. Tachi (Hitachi, Ltd., Japan)
We developed an ultra-high-frequency ECR plasma (UHF-ECR) etching system with a planar-antenna structure for the etching of insulator film. We believe that high precision radical control, CF2/F ratio and CF2/ion ratio control of fluorocarbon plasma, is an important for etching of insulator film with highly selective and fine feature control for below 0.13 µm design rule ULSI devices. UHF-ECR has a function of the radical control that is achieved by the plasma gap control for reduction of source gas dissociation and the double-near-surface effect 1 for radical changes. A 450 MHz UHF wave was supplied to a planar-antenna located on the opposite side of a wafer. The magnetic field for ECR was supplied by solenoid coils, and distance between the planer-antenna and ECR plane was 5-20 mm. Stable plasma in a wide density range between 1011 and 1012 /cm3 was formed at pressure range between 1 and 50 mTorr. The plasma was uniform within ±3% up to a diameter of 200 mm, and the plasma distribution on the wafer was controlled by the magnetic-field distribution. The SiO2 film etching was carried out by UHF-ECR plasma with C4F8 based gases. We confirmed that the increasing of CF2/F ratio can be achieved by the plasma gap reduction using optical emission spectroscopy. We obtained self-aligned-contact etching with selectivity of 25, and high-aspect-ratio-contact hole etching (0.13 µm, aspect ratio: 14) with etching rate above 700 nm/min. High selectivity and high feature control ability were also demonstrated with low-k films such as organic SOG film and organic film.


1 S.Tachi, M.Izawa and M.Kojima; 1997 Proceeding of dry process sympo. p.83-90.

Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule