AVS2000 Session PS-TuP: Poster Session

Tuesday, October 3, 2000 5:30 PM in Room Exhibit Hall C & D

Tuesday Afternoon

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule

PS-TuP-1 Frequency Effects to E - H Discharge Mode Transitions in Inductively Coupled Plasmas
M. Edamura (Hitachi, Ltd., Japan); E. Benck (National Institute of Standards and Technology)
Inductively coupled plasmas (ICPs) have been used for plasma processes because of their high density and low pressure operation. Recently relatively lower density plasmas are used for many etching processes because the newest semiconductor devices have very small structures. Especially when ICP etching reactors are driven at low power, the effect of E-discharge (capacitive coupled discharge) can not be neglected. There are a wide variety of ICP reactors and the characteristics of E-discharge strongly depends on the reactor design. In this work, frequency effects to ICPs and E - H discharge mode transitions in ICPs are examined by Langmuir probe measurement. An ICP modified GEC reference cell and the discharge frequencies of 6.28 MHz, 13.56 MHz and 20.0 MHz were used for the experiment. By increasing discharge power, plasmas jump to high density H-mode discharges from low density E-mode discharges at certain powers. Higher frequency makes the voltage of the coil and the E-mode density higher and thus the E-H mode transitions occur at lower power. On the other hand, higher frequency makes the density of H mode lower because contribution of E-discharge in H mode makes the efficiency of plasma generation lower. Electron energy distribution functions (EEDFs) of 20 MHz discharge shows a high energy tail around 7-15 eV caused by the E-discharge between the coil and the plasma. Once the E-discharge contribution was removed by inserting an electrostatic shield, significant difference of EEDFs was not observed at these three frequencies.
PS-TuP-2 Effect of Wafer Temperature on High Aspect Ratio Hardmask Etching
S. Lee, Y.C. Tien, Y.D. Chang (Winbond Electronics Corporation, Taiwan)
Fluorocarbon-based chemistries were used to study the effect of wafer temperature on the etch of high aspect ratio hardmask composed of BSG and Si3N4 layers. It is found that etch stop can occur easily at high temperature. The rate of polymer deposition plays an important role in etch stop. The etching rates were found to be inversely proportional to the wafer temperature. Such a relation indicates a negative activation energy in hardmask etching using fluorocarbon plasma. It also implies that in hardmask etching, complicated gas-surface reactions, but not simple one-step reaction, are involved. Different wafer surface temperature can provide different degree of activation for etching reactions. It is also observed that etching rates are very sensitive to the chamber condition, as indicated by optical emission spectroscopy. Analysis of etching rate and emission intensity trends indicates that CFx may contribute more than F does in the etch of BSG and Si3N4, since polymer-rich etching chemistries were used. Reaction models are proposed to interpret the observed trends.
PS-TuP-3 Time Resolved Mass Spectrometric Plasma Diagnostics
G.J. Peter, G. Nicolussi, N. Mueller (Balzers Instruments, Liechtenstein)
The energy distribution of the ions in a plasma is one of the most important process parameters. This distribution can be measured for different ion species by a combination of an electrostatic energy filter and a mass spectrometer (a Plasma Process Monitor PPM). Thus far, most of such investigations have been performed in plasmas under equilibrium conditions. On the other hand, little is known about the ion energy distribution during ignition of plasmas, i.e. in a non-equilibrium state. Furthermore, it has become a common technique to modulate deposition and cleaning plasmas by means of periodically changing magnetic and/or electric fields which as well results in non-equilibrium plasma conditions. Measurements of the transient ion energy distributions in such processes contribute to a deeper understanding of the mechanisms involved. This in turn, facilitates process development in order to avoid conditions that might result in electronic device damage (e.g. due to high energetic ions). Our investigation includes 3 different non-equilibrium plasmas: A DC-planar magnetron plasma during ignition, a magnetically modulated DC plasma, and a power modulated RF-plasma. The PPM utilized in these experiments is a combination of a differentially pumped specially adapted cylindrical mirror energy analyser and a quadrupole mass filter with mass ranges from 0 - 512 amu up to 0 - 2048 amu. An energy resolution of 0.3 eV and unit mass resolution over the whole mass range are achieved. To perform time resolved measurements the mass and energy filter are set to the desired values and data acquisition is made with a Multi-Channel Scaler (MCS).
PS-TuP-4 The Boron Effects on YMnO3 Thin Films Etching in High Density Ar/Cl2/BCl3 Plasma
B.J. Min (Chungang University, Korea); Y.T. Kim (KIST, Korea); C.-I. Kim (Chungang University, Korea)
Ferroelectric materials, such as Pb(Zr,Ti)O3 (PZT), SrBi2Ta2O9 (SBT), (Ba,Sr)TiO3 (BST), YMnO3 have attracted much attention for use in nonvolatile memories. In particular, YMnO3 thin films are excellent materials for high integrated ferroelectric random access memory (FRAM) with metal-ferroelectric-silicon field effect transistor (MFSFET) structure. Although etching processes for YMnO3 thin films must be developed to fabricate MFSFET type FRAM, etching of YMnO3 have not been reported. Thus, we studied the etching properties of YMnO3 thin films using high density plasma. In this study, YMnO3 thin films were etched with Ar/Cl2/BCl3 gas chemistries in inductively coupled plasma (ICP). Photoresist (PR) and SiO2 were used as mask materials. Etching properties of YMnO3 were measured according to the various etching parameters such as rf power, dc bias voltage, chamber pressure and gas mixing ratio. The trends in the effect on etch rate and selectivity to mask materials for BCl3 ratio to Ar/Cl2 have been determined. YMnO3 was dominantly etched by Ar ion bombardment. Selectivity to PR and SiO2 increased as decreasing mole fraction of Ar gas. Additive BCl3 enhanced relative etch rate, selectivity and profile. Chemical reaction and residue of etched surface was investigated with x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS). As mole fraction of BCl3 varied, boron effects with respect to residue were investigated by etched profile of SEM (scanning electron microscopy) image. In order to analyze the effect of radical density of Cl and ion current density in plasma of various gas chemistries, optical emission spectroscopy (OES) and single Langmuir probe were utilized. Change of stoichiometry on the etched surface is discussed by comparing with OES analysis.
PS-TuP-5 The Study of Optical Emission Spectroscopy in SrBi2Ta2O9 Etching Using Inductively Coupled Plasma
S.U. Shin, D.P. Kim, E.-G. Chang, C.-I. Kim (Chungang University, Korea)
Recent developments in real-time optical emission spectroscopy (OES) for plasma diagnostics are critically mentioned. The OES results also suggest certain chemical reactions to take place in the plasma, which can be correlated to the chemical composition of the films. In this report, since the research of SrBi2Ta2O9 (SBT) thin film etching was few(specially Cl2-base ), we had studied the surface reaction of SBT thin films using the OES in high density plasma etching. Measurements were made as a function of input power, pressure, bias power, and as a function of gas ratio for Cl2 and Ar. It had been found that this SBT etch rate appeared to be more affected by the physical sputtering between Ar ions and surface of the SBT compared to the chemical reaction in our previous papers. The change of Cl radical density measured by the OES as a function of gas combination showed the change of the SBT etch rates, therefore, chemical reactions between Cl radical in plasma and components of the SBT enhanced to increase the SBT etch rates. According to the OES spectra, Ar ion bombardment strongly effects to remove Sr, Bi, and Ta atoms, however, some of the Bi and Ta atoms react with Cl radicals and form a little of BiClx and TaClx, respectively. The surface residues remaining after the etch were investigated using XPS and SIMS for all of the etch conditions used in the experimental since the SBT etching process is dominant physical Ar ion bombardments and forms nonvolatile compound. These datum can be used to confirm our previous results of the OES. The plasma density and electron temperature of inductively coupled plasmas were determined by Langmuir probes. The OES results provided a strong support to the etching mechanism in inductively coupled plasma can be used to monitor the chemical and energetic properties of the plasma, providing a basis for control of industrial process.
PS-TuP-6 CF, CF2 and SiF Densities in Inductively Driven Discharges Containing C2F6, C4F8 and CHF3
G.A. Hebner (Sandia National Laboratories)
Laser induced fluorescence was used to measure the spatially resolved CF, CF2 and SiF radical density in inductively driven discharges containing fluorocarbon gases. Measurements of the spatially resolved CF density were performed in C2F6 and CHF3 containing discharges as functions of inductive power, pressure and bias condition on a silicon substrate. In addition, CF rotational temperatures were calculated, assuming saturated spectra. Measurements of the spatially resolved CF2 and SiF density were performed in C2F6, C4F8 and CHF3 containing discharges as functions of inductive power, pressure and bias condition. SiF rotational temperatures were also estimated. As the inductive coil power was increased, the SiF density in the center (r = 0 cm) increased while the CF2 density decreased and the CF density slightly decreased. In all cases, the radical density in the center of the glow increased with pressure changes from 5 to 30 mTorr while changes in the bias power had little influence on any of the measured radical densities. The spatial distribution of the CF and SiF density peaked in the center of the discharge. The CF2 density had a local maximum in the center of the plasma with a decreasing density at the edge of the glow. However, the CF2 density outside the glow region was a factor of 2 - 6 higher than the density inside the glow region, depending on the gas. CF and SiF rotational temperatures were between 450 and 750 K. This work was performed at Sandia National Laboratories and supported by SEMATECH and the United States Department of Energy (DE-AC04-94AL85000). Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the U. S. Department of Energy.
PS-TuP-7 Ion Compositions and Energies in Inductively Coupled Discharges Containing SF6
A.N. Goyette, Y. Wang, J.K. Olthoff (National Institute of Standards and Technology)
High density plasmas generated in mixtures of SF6 with rare or simple diatomic gases are involved in many dry etching processes. Plasma etching relies heavily upon surface bombardment by positive ions from the discharge, and experimental determination of the identities and energies of ions striking surfaces exposed to these plasmas contributes to understanding and accurate modeling of these plasmas. We have measured absolute total ion current densities, relative ion intensities, and ion energy distributions (IEDs) at the grounded electrode of an inductively-coupled Gaseous Electronics Conference (GEC) rf reference cell for discharges generated in pure SF6, and in Ar/SF6 and O2/SF6 gas mixtures. Several ions of significant intensity were detected from these plasmas, and the effects of chamber pressure, coil power, and mixture ratio on the fluxes and energies of ions extracted from these discharges were investigated. For pure SF6 discharges, SFx+ (x = 0-5) ions exhibited the highest fluxes, with S+ being the dominant ion detected. Mean ion energies were between 15 eV and 20 eV, and were most sensitive to changes in gas mixture ratio. Results of measurements from the mixtures will also be presented.
PS-TuP-8 Determination and Quantification of the Etch Products of Si with a Chlorine Plasma
G.A. Gaddy, A. Orland, R. Blumenthal (Auburn University)
The chlorine plasma etching of silicon has been studied utilizing a novel technique. This technique, supersonic pulse plasma mass spectrometry, allows for the in-situ sampling of the etch plasma at varying heights above the silicon substrate. It has been demonstrated previously that theoretical predictions of the percent dissociation of molecular chlorine in high-density plasmas are only observed using this mass spectral technique. The investigation focuses on the identity and concentrations of the SiClx (x = 1 to 4) products under typical ECR-microwave plasma conditions. Previous studies have shown SiCl to be the primary etch product. The determination of the actual percent yields of all Si etch products may be useful in determining the chemical mechanism for the release of volatile products during the etching of Si.
PS-TuP-9 Ion Angular Distribution at RF Biased Electrode in Inductively Coupled Plasma
N. Mizutani, K. Yamamuro, T. Hayashi (ULVAC JAPAN, Ltd.)
Ions play an important role in the plasma etching process. The ions, which were accelerated in the sheath, bombard the substrate with high energies. The etching characteristics, that is to say, the etching rate, the selectivity, the uniformity, and so on, depend on the ion energy. The ion incident angle at the substrate will affect the characteristics in the etching of the fine pattern such as contact holes, because the ion flux at the bottom of the pattern depends on the incident angle. The ion angular distribution (IAD) had been measured at the earthed electrode in the plasma chamber by several groups.1 However, the IAD has never been measured at the RF biased electrode, at which the etching is done. Therefore, we measured the IAD at the RF biased electrode in the inductively coupled plasma. For the measurement at the RF electrode, the analyzer must be RF floating, that is to say, the electric potential reference of the analyzer must be the potential of the RF electrode.2 Therefore, we have developed such an analyzer. For 2 MHz biased Ar-O2 plasma, the IADs were measured by using annular ion collectors that were similar to ones used in Ref. 1. The ion energy distribution (IED) at each ion collector was also measured. For the low gas pressure, 0.4 Pa, the IED was a bimodal distribution at a small incident angle (< 1°), where the vertical incidence corresponds to 0°. The bimodal distribution is due to ions that did not collide in the sheath. The ion flux at the large angle (20°) was very low compared with one at the small angle. For the high pressure, 2.7 Pa, the IED was not the simple bimodal distribution at the small incident angle, and low-energy ions increased relatively. The ion flux at the large angle increased compared with the low-pressure case. The measured behaviors of the IED and IAD can be explained by charge exchange and elastic collisions in the sheath.3 Comparison between the measured IAD and IED and calculated ones will be shown.


1
1 J. R. Woodworth, M. E. Riley, D. C. Meister, B. P. Aragon, M. S. Le and H. H. Sawin, J. Appl. Phys. 80, 1304 (1996).
2 N. Mizutani, Y. Nagata, A. Kubo and T. Hayashi, Rev. Sci. Instrum. 69, 1918 (1998).
3 N. Mizutani and T. Hayashi, Jpn. J. Appl. Phys. 38, 4206 (1999).

PS-TuP-10 The Effects of Substrate Temperature on Self-Aligned Contact Etching Process
C.W. Chu, J. Kim, K.-K. Chi, T.-H. Ahn, J.-T. Moon (Samsung Electronics, Korea)
In this paper we tried to elucidate the behavior of the selectivity of SiO2 to underlying Si3N4 with the wafer surface temperature. The two kinds of specimen, non-patterned and patterned wafer, were etched in Surface Wave Plasma (Sumitomo) reacto which has an electrostatic chuck. The cooling temperature was varied from -20 to +50 in the C4F8 plasma. As the wafer temperature goes up, the etch-rates of SiO2, Si3N4 and poly-Si of non-patterned wafer increased and the selectivity decreased, and vice versa for the patterned specimen. By increasing temperature, the decrease of etch-rate of SiO2, the increase of selectivity of SiO2 to Si3N4, and the increase of profile angle were observed in the case of the patterned specimen. These observations indicate the important role of the photo-resist erosion and sticking coefficient with a wafer temperature. The effect of carbon enrichment induced by photo-resist erosion was identified by comparing photo-resist-patterned samples with hardmask (poly-Si) patterned ones. The other fact was also supported by the polymer deposition with a low bias power etching in that, the thickness of polymer on the side-wall decreases and the thickness of polymer on the bottom increases as the wafer temperature goes up. In summary, there are two main causes in the effects of substrate temperature, and we can find out the difference between the non-patterned sample etching and the patterned sample etching from the viewpoint of surface reaction.
PS-TuP-11 Molecular Dynamics Simulation of Oxide Etching by Energetic Halogens
H. Ohta, S. Hamaguchi (Kyoto University, Japan)
We have performed molecular dynamics (MD) simulations of silicon dioxide (SiO2) etching by energetic halogen (chlorine or fluorine) atoms (or ions) in the energy range of 50-150 eV. To classically simulate such systems, we have constructed Tersoff and Stillinger-Weber type inter-atomic potentials for systems containing Si, O, and Cl (or F) by nonlinearly fitting the inter-atomic potential functions to potential energy data obtained from ab-initio quantum mechanical calculations. Although it is experimentally known that etching rates of oxide by halogen ions are relatively low and it is generally considered that the etching mechanism is essentially physical sputtering, the goal of this study is to clarify details of oxide etching by halogen ions. Our preliminary simulation results based on the newly constructed inter-atomic potentials seem to indicate that, in addition to ordinary physical sputtering, chemical etching is also taking place in oxide etching by halogens. As oxygen atoms with two atomic bonds are more likely to be removed by physical sputtering than silicon atoms with four atomic bonds, oxygen atoms are first removed from the oxide surface by physical sputtering. The remaining excessive silicon atoms are then removed efficiently by the combination of physical and chemical sputtering by halogen ions, as in the case of silicon etching by energetic halogen ions, generating SiClx (SiFx) compounds as etching products. We have also observed that there are considerable differences in etching mechanisms between chlorine and fluorine ions due to their difference in atomic size. In the meeting, we shall present numerically obtained macroscopic etching properties such as etching rates as well as details of the microscopic etching mechanism and compare the simulation data with experimental observations.
PS-TuP-12 PIC/MCC Simulation of a 2D Axially Symmetric Dually Frequency RF Plasma Processing System
S. Sunohara, S. Hamaguchi (Kyoto University, Japan)
We have developed a Particles-In-Cell / Monte Carlo Collision (PIC/MCC) simulation code for a two-dimensional (2D) axially symmetric radio frequency (RF) driven plasma processing tool. Especially of interest for application of the simulation code is the narrow gap dual frequency reactive ion etching (RIE) system, which is known to achieve well-controlled highly selective SiO2 etching with fluorocarbon radicals diluted in Ar discharges. In typical processing conditions for SiO2 etching, a gas mixture(mostly Ar, a few % fluorocarbon and oxygen) is introduced to a chamber with the electrode gap of about 2 cm (for 200 mm wafer processing) and the plasma is essentially generated by the primary electrode, which is connected to a higher-frequency, higher-power RF source. The wafer is placed on the secondary cathode and ion bombardment on the wafer is controlled by the RF power applied to the secondary cathode. The narrow gap RIE system has a large surface-area-to-plasma-volume(A/V) ratio and the high performance of this system is considered mostly due to the controllability of radical generation at the wall (especially at the primary electrode) by ion bombardment. In our PIC/MCC simulation, we consider only Ar discharges since the discharge conditions are mostly determined by Ar plasmas. Electron impact ionization, excitation, elastic collision of electrons and ions with neutral species, and charge-exchange collisions are all included through the Monte Carlo collision scheme. In low-pressure discharges, we have observed in our simulation bi-Maxwellian electron energy distributions, which implies the collisionless energy transfer to the plasma is dominant. We shall present the dependence of the power deposition, ion bombardmentenergy and plasma uniformity on the gap width, RF frequencies, and RF power.
PS-TuP-13 Effect of Time-varying Axial Magnetic Field on Photoresist Ashing in an Inductively Coupled Plasma
S.-G. Park, H.-Y. Song, B.-H. O (Inha University, South Korea)
Time-varying axial magnetic field coupled with ICP has been found to provide more uniform and higher density plasma with lower electron temperature.1 Weak axial magnetic field can be obtained by a pair of current flowing Helmholtz coils attached to the chamber. This scheme has been applied to etch SiO2 and silylated photoresist, where processing pressures are below 50 mTorr and ions are major reaction species. In this work, this method is applied to photoresist ashing, where processing pressure is usually higher than 1 Torr and down stream oxygen radicals are important species. It is found that axial magnetic field improves the ashing rate by 25% and uniformity of 4% over 8" wafer, and that the optimun frequency of the magnetic field is 60Hz. Optical emission spectroscopy is used to characterize the effect of the magnetic field. Effect of aluminum baffle inserted between plasma and wafers is also investigated in this system.


1
1 Beom-hoan O, Jae-seong Jeong, Se-Geun Park, "Improvement of ICP plasma with periodic control of axial magnetic field", Surface and Coatings Technology, 120-121(1999) 752-756.

PS-TuP-14 The Characteristics of Atmospheric Pressure Glow Discharge formed by Capillary Electrode
Y.H. Lee, C.H. Jeong, G.Y. Yeom (Sungkyunkwan University, Korea)
In industrial plasma processes, vacuum systems have been generally used for thin film deposition, dry etching, and surface treatments. To remove costly vacuum systems and to apply to various other situations, low temperature plasmas generated at atmospheric pressure such as dielectric barrier discharges, atmospheric microwave discharges, etc. are actively studied in these days. In this study, the characteristics of low temperature atmospheric plasmas generated using capillary electrodes were investigated. The characteristics of the plasmas were studied as a function of capillary aspect ratios, input power, frequency of input power, electrode distance, and gas mixtures and their flow rate, using a high voltage probe(Tektrionix P6015) and current probe(Pearsonelectronics 6600). Reactive gases such as He/ O2 were also used and their ionization and dissociation properties were investigated using a mass spectrometer and optical emission spectroscopy (OES). The voltage between electrodes measured by high voltage probe increased with the increase of input power, the increase of electrode distance, the decrease of He flow rate, and the increase of O2 flow rate. The increase of capillary aspect ratio also increased the discharge voltage, however, more stable capillary discharge was obtained by the increased current limiting of the high aspect ratio capillary. Increased ionization and dissociation of the plasma species could be observed by OES with the increase of input power in a He/ O2 mixture. However, with the increase of O2 flow rate in a constant He flow rate, the emission peaks from He decreased due to the increased electron consumption by oxygen while the emission peaks from O2 - and O increased due to the increased ionization and dissociation rates with the increase of oxygen concentration in the He/O2 gas mixture. Using He/O2 gas mixtures, organic materials such as photoresist could be successfully removed.
PS-TuP-15 Spatial Distribution of Carbon Species in Laser Ablation of Graphite Target
T. Ikegami, S. Ishibashi, Y. Yamagata, K. Ebihara (Kumamoto University, Japan); R.K. Thareja (Indian Institute of Technology Kanpur, India); J. Narayan (North Carolina State University)
A DLC film has excellent properties like a diamond and its applications are expected in many fields. Pulsed laser deposition (PLD) is one of methods that can deposit hydrogen free DLC films. In order to optimize the process it is necessary to understand the ablation process. Many form of carbon species exist in the plume, therefore, their number densities and energy states seem to affect the characteristic of the deposited DLC films. However, it has not been well understood about relation between their behavior and the film properties. Emission spectroscopic analysis is usually used to the plasma plume measurement, but this method give no information on non-emissive. A carbon plasma plume produced by the KrF excimer laser ablation of a graphite target was measured by the laser induced fluorescence (LIF) method. C2 molecules produced from the target were excited by a probe laser using C2 swan band a3Πu-d3Πg. Two-d imensional LIF signals from C2 molecules were measured using an ICCD camera at several delay time of the probe laser incidence from the KrF laser irradiation. Experimental results showed that C2 molecules have relatively low internal and kinetic energy and locally exist near the target and its density decreased with increasing laser fluence.
PS-TuP-16 Controlled Plasma Characteristics by a Novel Method of Enhanced Inductively Coupled Plasma
S.-H. Rha, C.-W. Kim, S.-G. Park, B.-H. O (Inha University, South Korea)
It is important to control the electron energy distribution to have high quality plasma process. A conventional inductively coupled plasma(ICP) source with 13.56MHz power is not adequate for low damage sub-half micron patterning process due to higher electron temperature. Only the pulsed plasma technique seems to provide low electron temperature, and thus low process damage. Recently, a novel method proposed by us, named as ‘Enhanced-ICP’, which uses periodic weak axial magnetic field added to a normal ICP source, has shown great improvement in etch characteristics. Novel changes of plasma characteristics according to the frequency of time-varying axial magnetic field have been observed by a time-resolved analysis of Langmuir probe. It is found that the plasma density is increased while the electron temperature is lowered in E-ICP. Furthermore, the spatial plasma distribution is also homogenized by this method. Many evidences on improvement of electron energy distribution and spatial plasma distribution will be discussed for various cases using time-resolved analysis of Langmuir probe and optical emission spectroscopy. Theoretical analysis for this phenom is presented in this paper.
PS-TuP-17 Radio Frequency Biasing of an Ion-Ion Plasma
B. Ramamurthi (University of Houston); V. Midha (General Electric); D.J. Economou (University of Houston)
A one-dimensional fluid model for simulating the effects of RF bias applied to an ion-ion plasma was developed. The full ion momentum and continuity equations were coupled to the Poisson equation for the electrostatic field. Special emphasis was placed on the effect of applied bias frequency. Due to the lower temperature and greater mass of negative-ions compared to electrons, the sheath structure in ion-ion plasmas changes significantly as the bias frequency is varied. For low bias frequencies (100 kHz), the charge distribution in the sheath is monotonic (switching from positive to negative) during each half cycle. For intermediate frequencies ( 10 MHz), when the bias period approaches the ion transit time through the sheath, double layers form with both positive and negative charges coexisting in the sheath. For high frequencies, beyond the plasma frequency (60 MHz), plasma waves are launched from the bulk plasma and the sheath consists of multiple peaks of positive and negative charge (multiple double layers). For a relatively large range of bias frequencies (up to the plasma frequency), each electrode is bombarded alternately by high energy positive and negative ions during an RF bias cycle. For bias frequencies greater than the plasma frequency, however, the electrode is bombarded simultaneously by low energy positive and negative ions with ion energies approaching the ion temperature. It was also found that the ion energy increases with the applied bias potential. At relatively high pressures (greater than 20 mTorr), the ion energy at low frequencies (100 kHz) is limited by collisions and the peak ion energy may be increased by using a higher bias frequency (10 MHz). At lower pressures, however, the effect of collisions is mitigated while the effect of ion transit time becomes significant as the bias frequency increases. In this case, a low bias frequency is favorable for extracting high energy ions from the plasma.
PS-TuP-18 Comparative Study of W, WNx and Si RIE in SF6/Ar using Actinometry Technique
S.A. Moshkalyov, C. Reyes-Betanzo (UNICAMP, Brazil); A.C. Ramos (UNICAMP-IFGW, Brazil); A. Diniz, J.W. Swart (UNICAMP, Brazil)
The use of tungsten thin films in micro-fabrication attracts much attention. For etching of W and WNx films, SF6 is commonly used which provides high etch rates. However, for RIE reactors considerable undercutting of W/WNx in SF6 was usually reported. The objective of this study was to find conditions of anisotropic etching of tungsten films using conventional RIE medium-pressure reactor. To characterize the density of fluorine radicals in the plasma, an optical emission spectroscopy (actinometry) was employed. To provide considerable variation of radical/ion fluxes to the processed surfaces, the SF6/Ar ratio was varied widely. Two sets of experiments were performed keeping either RF power or DC bias constant while changing the SF6/Ar ratio. The results show that the silicon etch rate is nearly proportional to the fluorine radical density with only the minor effect of the DC bias (ion energy). Thus in the Si-F system the chemical (spontaneous) etching is the dominant mechanism. In contrast, in tungsten etching, the role of ion-induced effects is much more pronounced. Furthemore, the tungsten etch rate dependence on a fluorine density has a threshold behavior with the threshold depending on the ion energy. This indicates that at a relatively small (not saturated) surface coverage by fluorine, the ion bombardment provides strong enhancement of surface processes leading to efficient formation and desorption of volatile WF6 etch products (most likely, an ion-induced associative desorption). Under these conditions, highly anisotropic etching of tungsten and tungsten nitride have been achieved using a conventional RIE reactor at relatively high etch rates (>100 nm/min).
PS-TuP-19 Improved Etch Characteristics of SiO2 by the Enhanced Inductively Coupled Plasma
S.-B. Cho, H.-Y. Song, S.-G. Park, B.-H. O (Inha University, South Korea)
It has been known that generation of active species and passivation layers is very important for etching contact holes of high aspect ration in sub-half micron technolgy. Some of the solutions are to use high C/F ratio chemistry and/or to apply pulsed plasma technique. In this work, we suggest better and simpler method, which was time-varying axial magnetic field applied to a normal ICP source. Enhanced ICP has a pair of external coils attached to the conventional ICP, and periodic weak axial magnetic field can be obtained by changing the magnitude and direction of the current through the coils periodically. Etch rate, uniformity and micro-loading effect can be greatly improved by changing the frequency. The etched characteristics by CF4 and C4F8 plasma in E-ICP is very interesting in that the bonding energy of C-C and C-F are different and the electron distribution can be controlled in E-ICP. The SEM pictures show effective removal of micro-loading effect and micro-trench problem, for an optimized E-ICP. More details on E-ICP operation for SiO2 etch and the mixture effects of additional gas (oxygen and hydrogen) are discussed further.
PS-TuP-20 Silicon Surface Roughness Induced by Reactive Ion Etching in SF6 and SF6/O2 Plasmas
S.A. Moshkalyov (UNICAMP-University of Campinas, Brazil); P. Verdonck, R.D. Mansano (University of São Paulo - USP, Brazil); M. Cotta (UNICAMP, Brazil)
With the trend of continuous minimization of device structures in micro-fabrication, surface roughness induced by plasma processing becomes an important issue. The problem is of particular importance in the case of deep trench etching of silicon for MEMS applications. Mechanisms of roughness formation and development during plasma processing are not well understood. Surface roughness is closely related to the energies and flux densities of ions and reactive radicals coming from the plasma to the processed surface. A study of the evolution of surface morphology can provide better understanding of etching mechanisms. New opportunities for this kind of study arose with the recent introduction of high-resolution local probes like AFM, which allow to obtain real spatial surface images. Experiments were performed in a RF-driven RIE plasma etcher with SF6 and SF6/O2 gas mixtures at medium gas pressures (50-150 mTorr). Small (100) silicon samples with an Al mask were etched with etch time varying from 2 to 30 min. After the process, etch rates were measured and surface morphology was analyzed by AFM in frames ranging from 2x2 mkm to 40x40 mkm. The results show a significant difference in roughness evolution for SF6 and SF6/O2. For both cases, the roughness parameter rises almost linearly with time, but its rise is faster for oxygen contaning mixtures. For short etching times (2 min.) and small/medium oxygen content (10-30%) the rms roughness parameter was even slightly smaller for gas mixture (21-24 nm) than for pure SF6 (26 nm). Furthemore, in the former case the surface morhology is distinctly more regular. The mechanisms reponsible for the formation of surface roughness in both cases are discussed.
PS-TuP-21 Transmission Line Effects and Chlorine Plasma Characterization in an Inductively Coupled Plasma Etch Reactor
M.H. Khater, L.J. Overzet (University of Texas at Dallas)
Transmission line (i.e. standing wave) effects in inductively coupled plasma sources impact the plasma and processing uniformity. In this work we show standing wave effects on the symmetry and uniformity of power deposition, plasma parameters, and polysilicon etch in chlorine plasma. A new ICP source design, which allows better control of field profiles azimuthal symmetry, is compared to a standard spiral planar coil on an industrial plasma etch reactor. B-dot probe measurements of the free space electromagnetic fields in (r,θ) plane for both sources showed improved azimuthal symmetry for the new source. The planar coil generated an azimuthal electric field, Etheta, with a local maxima near the grounded (i.e. high current) lead and a significant azimuthal variations. A three-dimensional electromagnetic field model that includes standing wave effects was developed for both sources. The sources were modeled as uniform transmission lines and the current variation along their lengths was estimated from standard transmission line theory. The model showed good agreement with the measured field profiles. Langmuir probe measurements of chlorine plasma parameters spatial profiles near the dielectric window also showed improved azimuthal symmetry of power deposition with the new source. Parametric characterization of chlorine plasma parameters and their spatial profiles generated with the new source will also be shown. Plasma parameters and their spatial profiles exhibited different scaling behaviors with power and pressure in the power deposition region and the wafer plane. Polysilicon etch rate profiles on 150 mm wafers showed improved azimuthal symmetry and uniformity with the new source.
PS-TuP-22 Penetration of Electromagnetic Fields in ICP, Weakly Magnetized ICP, and Low-B Helicon Discharges
J.D. Evans, F.F. Chen, D. Arnush (University of California, Los Angeles)
Due to renewed interest by the plasma processing community, the physics of the penetration of electric and magnetic fields in low pressure, weakly ionized plasmas is an area of active research. Penetration of induced B-fields in ICP, weakly magnetized ICP, and low-field helicon discharges is investigated in a device consisting of a dome-shaped Pyrex top attached to a magnetic bucket. The antenna consists of a multi-turn loop of wire wrapped around the top (radius = Rtop), and Bo is produced by a magnet coil located near the antenna. Bz-dot probes are used to measure Bz-field profiles. Bz decays approximately exponentially with decreasing R in most cases. Characteristic decay lengths of Bz (Lsd) are measured as Po is varied. Good agreement between experiment and collisional skin depth theory is observed for fRF = 2MHz when non-uniform density profiles are taken into account. Experimental values greatly exceed collisional theoretical predictions for fRF=6.78MHz, in qualitative agreement with anomalous skin effect (ASE) theory. Variations of Lsd with Bo have also been measured, and good agreement between experiment and collisional theory is observed in most cases. Regions of enhanced Bz ("wings") are observed near the edge for Bo>0, in qualitative agreement with code predictions when axial boundary conditions are accounted for. As Bo increases, Lsd increases, and Bz in the interior increases. Coupling to a low-Bo helicon mode is expected when Lsd ≥ Rtop. Under these conditions, the Bz-field amplitude behavior changes from evanescence to propagation. At higher powers and neutral pressures, large phase shifts in Bz, apparent "nodes" in Bz amplitude profiles, and other interference-type phenomena are observed, even in highly collisional regimes where ASE is not predicted to occur.
PS-TuP-23 Ion-Iion Plasma Formation in Chlorine in an Inductively Coupled Plasma Etch Reactor
M.H. Khater, S.K. Kanakasabapathy, L.J. Overzet (University of Texas at Dallas)
We will show time-resolved characteristics of pulsed chlorine plasmas in an industrial, inductively coupled plasma etch reactor. Using pulsed-plasmas may enhance processing and reduce charge-up damage of sub-micron features. Electron free or "ion-ion" plasma forms during the afterglow of highly electronegative discharges (e.g. Cl2) due to electron attachment. The electron loss can be characterized by a "transition time" after which ion-ion plasma exists within the reactor. Such electron free plasma can provide both positive and negative ion fluxes to a substrate potentially reducing charge-up damage as well as enabling negative ion assisted processing. The transition time to ion-ion plasma (8-25 µsec) is mostly dependent on pressure and power while varying only slightly with pulse frequency and duty ratio. Larger Cl2 concentrations at high pressure and/or lower power discharges result in smaller transition times due to dissociative attachment. Measurements of the plasma parameters time evolution were obtained at 1 cm above the substrate for 500 W peak power, 1 kHz pulse frequency and 50% duty ratio. The ion densities generally decreased as expected during the afterglow, except they increased slightly near the end of the afterglow at higher pressures. This suggests a transport of ions from the source towards the substrate. Spatio-temporal measurements showed electron and ion density profiles that peaked at the center during the active glow with similar uniformities to those obtained in CW operation. The ion density uniformity improved gradually during the beginning of the afterglow (~ factor of 2) but degraded back to active glow values near the end of the afterglow. This is likely related to the ion loss mechanisms. Ion-ion recombination should tend to flatten the ion density profile in the early afterglow whereas ion loss due to diffusion should tend to cause a peak in the center and dominate at the end of the afterglow.
PS-TuP-24 Effect of Temperature (or Heat) on the Etch Rate of Iridium and Platinum in CF4/O2 Plasma
H. Ying, J.S. Maa, F. Zhang, S.T. Hsu (Sharp Laboratories of America, Inc.)
Iridium and platinum films are often used as electrode materials in ferroelectric devices. In this work, we demonstrated that the substrate temperature plays an important role in the etching of iridium and platinum when etched in a CF4/O2 plasma. The etching was performed in an Electron Cyclotron Resonance (ECR) plasma reactor. The wafer was placed on a heated chuck during etching. Wafer temperature was maintained in the range of 70°C to 250°C before etching. An RF power was applied to the wafer chuck to generate a self-bias potential. At temperatures below 100°C, the iridium etch rate was low (~200 Å/min). The etch rate increased with the increase of temperature, and reached ~1500 Å/min at above 200°C. Platinum showed a low etch rate below 150°C, then also increased to ~1500 Å/min at higher temperature. In both cases, there is a transition from low etch rate to high etch rate. The transition occurred at a slightly higher temperature for the case of platinum etching. The increase of etch rate at higher temperature was believed due to the formation of volatile compound of IrF6 and PtF6.
PS-TuP-25 Process Performance Evaluation of Low Damage Sources
X. Tang, D. Manos (College of William and Mary)
We have performed a comparative study to characterize and evaluate the performance of two low damage sources, a surface reflection neutral source and a pulsed ICP source. The neutral stream was characterized using sensitive momentum and microcalorimetric energy analyzers to detemine that the neutral energy of our source is tunable between 3-6 eV and that the neutral flux is on the order of 3 x 1015cm-2 s-1. These results are in excellent agreement with earlier published flux values1 inferred from the stripping rate measurements and are also in excellent agreement with our previous Monte Carlo simulations.2 A pulsed rfi plasma source (200Hz to 10kHz) was also characterized using a Langmuir probes deploying two different data analysis methods to extract plasma density and electron temperature and to follow mode transitions in the source operation. The probe measurements indicate that there is an optimal pulse frequency in our source at around 1kHz. We have performed a zero-dimensional, explicit-time, kinetic model simulation of the pulsed behavior of this source which agrees very well with the observed values of the density, temperature, and trends of pressure and power dependence and with the temporal behavior as a function of pulse length and duty cycle. Experimental studies comparing the neutral stream to direct and downstream plasma exposure indicates that fast neutrals induces much lesss damage than exposure to the pulsed plasma source and that most of the damage from neutral operation comes from exposure to UV photons. Preliminary experiments using the fast neutral bombardment to do low-temperature growth of both stable and metastable films in a charge-free environment will also be presented in this paper.


1X.Tang, C.A.Nichols, and D.M.Manos, J. Appl. Phys. 86:2419, 1999
2C.Nichols and D.Manos, J. Appl.Phys. 80:2643,1996.

PS-TuP-26 The Effects of Electrostatic Bias on the Radial Plasma Potential Profile in a Helicon Plasma
S.W. Lee, S.H. Jun, S.H. Uhm, Y. Lee, H.Y. Chang (Korea Advanced Institute of Science and Technology)
The effects of electrostatic bias on the radial plasma potential profile has been studied in a helicon plasma. Two types of electrode - ring and planar type - were used. Electrodes were floated, negatively, and positively biased. The radial profile of ion density and plasma potential were measured with Langmuir probe. The ion velocity distribution function was measured with Doppler shifted laser induced fluorescence technique. The floating and negatively biased electrode gives similar result on plasma potential and ion density. The positive bias increases the plasma potential as high as the electrode voltage. The hollow plasma potential profile was formed when ring electrode was used. The profile is affected by gas pressure, ion density profile, static magnetic field, electron temperature, ion temperature, and ion flux. We could explain the phenomena with the results of LIF and Langmuir probe measurement.
PS-TuP-27 New Large Area Plasma Source
Y. Lee, D.S. Lee, S.W. Lee, H.Y. Chang (Korea Advanced Institute of Science and Technology)
A new large area plasma source for 300mm wafer processing were developed and studied. The antenna system of the source consists of 3-turn circular coils connected parallely and the outer coil connected with series to an additional capacitor which is able to controll the antenna current distribution. It was found that the source have several advantages compared to other popular plasma sources. Firstly, the current distribution of the antenna by changing capacitance of the capacitor can be controlled so that the good spatial uniforminty of electron density is obtained. Secondly, the source has very low antenna voltage because the antenna has very low impedance near LC resonance point. At 3 - 30 MHz and 2 - 20 mTorr of argon, electron density uniformity within 3% was achieved.
PS-TuP-28 Antenna Configurations for Large Area rf Inductive Plasma Sources
M.M. Patterson, T. Lho, A.E. Wendt, N. Hershkowitz (University of Wisconsin, Madison)
Industrial demands for uniform, high density, low pressure plasma processing over large areas (roughly 300 cm diameter circular substrates) motivate the study of alternatives to the standard spiral antenna design for inductive plasmas. Scaling of the spiral design suffers from several complications including increased voltage requirements (and therefore increased capacitive coupling). In addition, standing wave current variations along the length of the antenna exacerbate azimuthal nonuniformities along the increased spiral length. A possible solution to these problems is to change the connection between the concentric circular antenna loops from serial (spiral) to parallel. This lowers the net inductance (decreasing voltage requirements) and allows for control over the current in concentric loops by connecting an appropriate lumped inductor between them, enhancing uniformity. We have constructed several circular loops, 10, 20, 30, and 40 cm in diameter for use in a planar inductive plasma source of 64 cm inner diameter. Several types of connections are possible: two loops can be connected either in series or parallel, loops can be driven singularly, and more than two loops can be connected and driven in some combination of serial and parallel connections. Langmuir probe measurements of radial ion saturation current profiles in argon discharges show that good uniformity can be achieved over 30 cm diameter circular areas by choosing the appropriate inductor. Preliminary results also indicate that the ratio of inner and outer loop diameters significantly affects uniformity. We will present results that compare the spatial electron density profile with parallel versus serial connection, loop diameter, and the ratio of inner and outer loop diameters, for both serial and parallel connections.
PS-TuP-29 Dry Etching of SrBi2Ta2O9 Thin Films in Cl2/NF3/O2/Ar Inductively Coupled Plasmas
Y.-H. Im, R.-J. Choi, Y.B. Hahn (Chonbuk National University, Korea); J.K. Lee (Korea Institute of Science and Technology)
Inductively coupled plasma etching of SrBi2Ta2O9 (SBT) films for FRAM applications has been carried out in Cl2/NF3/O2/Ar discharges. The etch characteristics and ferroelectric properties of SBT films prepared by magnetron sputtering were investigated in terms of etch rate and P-E hysteresis curve. The etch rates were dependent on plasma parameters such as ICP source power, rf chcuk power and etch gas combinations. Ar plasma showed fastest etch rate, but resulted in severe damage to ferroelectric layers. Addition of oxygen to the etch gas combination reduced etch damage. The electrical properties of the SBT films were quite dependent on etch conditions. The decreased remanent polarization of etched Pt/SBT/Pt structure was rectified after annealing in oxygen atmosphere.
PS-TuP-30 Ionized Magnetron Sputter Deposition of MgO for Protective Layers in PDP
J. Joo (Kunsan National University, Korea)
MgO is known to be a good candidate for protective materials in Plasma Display Panel industries. Electron beam evaporation has been used to deposit MgO on glass substrates, but it has some problems in large scale production equipment for panels larger than 55 inch in diagonal. Currently, in-line type magnetron sputtering machine appears to be a solution in thickness uniformity point of view. HCD based ion plating was tried and produced very dense layer of MgO along with longer service life as a protective layer. In this study, reactive ionized PVD is tried in depositing MgO using 2 MHz ICP source along with bipolar pulsed dc sputtering power source for Mg metal target. Characteristics of deposited MgO was analysed by XRD, AFM, transparency and erosion rate. As an in- situ analysis, optical emission spectroscopy was used to monitor dissociation level of oxygen and ionization level of sputtered Mg. In mass flow rate of Ar:O2 = 10 : 2, transparent, hard MgO films were obtained lower than 300C of substrate temperature. Also the post treatment using in-situ oxygen ICP was tried to stabilized the as-deposited surface of MgO. Crystal preferred orientation varied from (111), (200) to (220) and by optimizing the plasma condition, films having similar crystalinity of bulk (JCPDS data) was successfully obtained.
PS-TuP-31 Plasma Characterization and Film Structure Control for ZrO2/Y2O3 Laser Ablation in Different Environments
A.A. Voevodin, J.G. Jones, J.S. Zabinski (Air Force Research Laboratory, WPAFB)
Laser ablation deposition of highly oriented yttria stabilized zirconia (YSZ) films is important for various technological applications and depends critically on the selection of background environment, with low pressure oxygen being the most common choice. Here, the spatial-temporal distribution of YSZ ablation plasma chemistry, excitation states, and energy was determined for ablations in vacuum, low pressure O2, and low pressure Ar. For this purpose, fluorescence spectroscopy, element specific imaging techniques, and time-of-flight experiments were used. It was found that an Ar background considerably promotes excitation and ionization of zirconium during the first 1-3 ï­s after the laser strike. There is much less zirconium excitation in an O2 background, where a large fraction of atomic oxygen with a broad spatial distribution was found. ZrO and YO molecules were observed in both environments. Kinetic energies of neutral species were reduced by about a factor of two in Ar and O2 backgrounds compared to vacuum. This was not observed for Zr1+ species, which maintained about 100-120 eV mean kinetic energy nearly independently of the background. The results of the plasma analyses were used for the film composition and structure control. In particular, films with [001] orientation, with respect to the substrate surface, were produced at near room temperature on various single crystal and polycrystalline substrates. Correlation between deposition parameters, plasma characteristics, and film properties are discussed.
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule