AVS2000 Session PS2-ThA: Dielectrics I

Thursday, October 5, 2000 2:00 PM in Room 311

Thursday Afternoon

Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule

Start Invited? Item
2:00 PM PS2-ThA-1 Ion Energy Control for Enhanced Plasma Etch Selectivity
Y. Andrew, E. Ko, J. Machima, S.-B. Wang, A.E. Wendt (University of Wisconsin, Madison)
Ion energy distribution (IED) controlfootnote1 at the substrate during plasma etching has been examined for improvements in SiO2/Si and SiO2/photoresist etch selectivity. The IED is controlled using a tailored bias voltage waveform applied to the substrate in place of the conventional RF sinusoidal waveform. A periodic waveform consisting of a voltage ramp in combination with a short pulse produces a plasma sheath in front of the wafer with nearly time-invariant voltage, leading to a nearly monoenergetic ion flux at the substrate, as compared to the relatively broad IED typically produced by a sinusoidal waveform. A 13.56 MHz helicon etching tool, equipped with a substrate bias power supply capable of producing the tailored substrate bias waveform, has been used to etch blanket films of photoresist, Si and SiO2 using sinusoidal and tailored bias voltage waveforms. Etch rates of the blanket films are measured in situ using laser interferometry. Results to be presented show improved selectivity with the tailored waveform and a broadened process window for selective etching of SiO2 over silicon in fluorocarbon-based plasmas, and etch rate vs. ion energy data suggest physical mechanisms. Selective etching of SiO2 over photoresist is also examined, as it is very desirable to reduce the demand for thick photoresist and the challenge it presents to lithography technology. Substantial improvements in SiO2/photoresist selectivity are expected.


1S. B. Wang and A.E. Wendt, "Control of ion-energy distribution at substrates during plasma processing" to be published, J. Appl. Phys., June 1999.

2:20 PM PS2-ThA-2 Temperature and Bias Effects in ICP Etching of Silicon Dioxide
M.J. Cooke, G. Hassall (Oxford Instruments Plasma Technology Ltd., UK)
Silicon dioxide etching has been evaluated in a new induction-coupled plasma (ICP) source (designated ICP380), with particular attention to the sources of nonuniformity in etching 200 mm wafers. The contributions of the ICP source, the rf bias to the wafer, and the gas flow distribution to uniform etching are examined experimentally, supported by simple models. The implications for the design of etching hardware and for the protocols to achieve reproducible processes are considered. The rate of polymer deposition and etching in fluorocarbon plasmas has been measured as a function of the ion impact energy and the temperature of the substrate, using interferometry and direct film thickness measurements. This has been related to the etch profile evolution for 10 micron deep trenches in thick silicon dioxide layers. It is shown that substrate temperature control is a necessary part of profile control, even for etches which are normally considered to be regulated by ion bombardment.
2:40 PM PS2-ThA-3 Control of Incident Fluxes and Surface Reactions in the Etching of Dielectric Materials
T. Tatsumi, M. Matsui, K. Kinoshita, S. Kobayashi, M. Sekine (Association of Super-Advanced Electronics Technologies (ASET), Japan)
The relationship between SiO2 etch rates and the incident flux of reactive species in dual-frequency (27/0.8 and 60/2 MHz) parallel-plate systems were evaluated by using various in-situ measurements tools, such as infrared IRLAS, QMS, and OES. The thickness of a C-F polymer layer on the etched SiO2 surface was measured by XPS. The SiO2 etch rate depends on both the total amount of F in the C-F reactive species and the ion energy at a reactive layer on the SiO2 surface.1 The net energy supplied to the reactive layer depends on the total amount of ions, the acceleration energy of ions (assumed to be the peak-to-peak voltage, Vpp), and the energy loss in the C-F polymer layer. The C-F polymer thickness increased when the incident flux of C-F species was relatively higher than the removal ability of C-F polymer, that mostly depends on oxygen flux. To vary the incident CFx species, the C4F8 flow rate in the C4F8/Ar/O2 was increased under 30mTorr of gas pressure and 1450 V of Vpp. The ion flux was controlled by adjusting the RF powers. When we increased the ion flux from 3.0 x 1016 to 3.6 x 1016 cm-2s-1, the etch rate was increased because the energy on the reactive layer increased while the Si etch rate remained the same. Furthermore, the formation of the thick polymer (>1nm) started under higher C4F8 flow-rate conditions. This means the ability to remove excess C-F polymer on the etched surface was also improved. As a result the process-window of selective etching was increased. C5F8/Ar/O2 gas chemistry was also evaluated in the same manner. An increase of C-concentration of the parent gas molecules induces the excess incidence of C atoms to the surface. As a result we mostly observed the C-F polymer deposition rate (not steady-state thickness) under high C5F8 flow-rate conditions. It is necessary to use the lower pressure or higher ion energy conditions to suppress the excess formation of the C-F polymer in the C5F8/Ar/O2 process.


1This work was supported by NEDO.
1T.Tatsumi et al., J. Vac. Sci. Technol., A17 (1999) 1562.

3:00 PM PS2-ThA-4 Reaction Mechanisms and SiO2 Profile Evolution in Fluorocarbon Plasmas: Bowing and Tapering1
D. Zhang (University of Illinois at Urbana-Champaign); C. Cui (Applied Materials, Inc.); M.J. Kushner (University of Illinois at Urbana-Champaign)
The rate and quality of fluorocarbon plasma etching of dielectrics is largely determined by a balance between deposition of polymer and ion activated chemical or physical sputtering. The proper balance results in selectivity and sidewall passivation producing straight walled features. The scaling of SiO2 etching in fluorocarbon plasmas was numerically investigated using the Hybrid Plasma Equipment Model and the Monte Carlo Feature Profile Model (MCFPM). Algorithms were added to Surface Kinetics Module to account for multiple polymer layers, delivery of activation energy through polymer layers and ion activated polymer deposition. The MCFPM was also improved by including these processes. Reaction mechanisms were developed in which deposition of CnFm radicals, either direct or ion activated, produces a polymer layer. At the interface of the polymer layer and SiO2, a CnFm-SiO2 complex is formed which, in the presence of fluorination by F atoms diffusing and ion energy delivery through polymer layers, produces etching in a 2 step process. Selectivity to Si results from lack of consumption of the polymer layer. SiO2 etch rates increase with increasing bias at low biases due to increased activation energy delivered through a thinner passivation layer. Etch rates saturate at high biases due to polymer starvation. Comparisons to experiments showed that etch profiles transitioned from bowed to tapered as the passivation flux to ion flux ratio increased. This transition is delayed to higher passivation flux to ion flux ratios by increasing the bias. In general, loss of critical dimension correlated with a reduction in etch rate due to the thickening of passivation layers. For this reason, saturation of the etch rate due to polymer starvation also improved maintenance of the critical dimension.


1
1Work supported by AMAT, LAM, SRC and NSF.

3:20 PM PS2-ThA-5 Etching Mechanism of Silicon Nitride Film in Self-aligned Contact Etching Process
M. Ito, S. Senda, K. Kamiya, M. Hori, T. Goto (Nagoya University, Japan)
For a contact hole etching process, the high etching selectivity of SiO2 over Si3N4 as well as Si is required. In order to clarify the etching mechanism of Si3N4 film in H2 diluted C4F8/Ar electron cyclotron resonance plasmas, we have investigated the mixing-layer in Si3N4 films using in-situ X-ray photoemission spectroscopy and in-situ Fourier transform-infrared reflection absorption spectroscopy. From etching results and C-N bonding compositions in the mixing layer as a function of H2 dilution ratio, the intensities of C-N sp2 bonds are considered to have a relation with the etching rate of Si3N4. On the other hand, C-N sp1 bonds were not observed at all in the films. Moreover, to clarify the H2 dilution effect, we have observed the surface reaction during H2 plasma annealing after etching Si3N4 films under C4F8/Ar plasma condition. It was found that intensities of C-N sp2 bonds as well as sp3 bonds decreased while Si-N bonds increased with the annealing time. Therefore, C-N sp2 bonds as well as C-N sp3 bonds are suggested to be etched through the formation of byproduct such as HCN and to be broken to form Si-N bonds through the recombination of the dangling bonds such as -N and -Si. This fact suggests that the restriction of reaction of C-N sp2 bonds and C-N sp3 bonds with H or F atoms is a key factor for achieving higher selective etching of SiO2 over Si3N4.
3:40 PM PS2-ThA-6 High-performance Silicon Dioxide Etching for High-aspect Contact Holes
S. Samukawa (NEC Corp.)
SiO2 etching is done by using fluorocarbon gases to deposit a fluoropolymer on the underlying silicon. This deposit enhances the etching selectivity of SiO2 over silicon or silicon nitride. CF2 radicals especially are used as the main gas precursor for polymer deposition. In a conventional gas plasma, however, the CF2 radicals and other radicals (high-molecular-weight-radicals: CxFy) lead to the polymerization. This condition causes microloading and etching-stop in high-aspect-contact hole patterning due to the sidewall polymerization during SiO2 etching processes. Conversely, by new fluorocarbon gas chemistries (C2F4/CF3I), we achieved selective radical generation of CF2 and eliminated high-molecular-weight-radicals. Under this condition, microloading-free and etching-stop-free high-aspect-ratio-contact-holes patterning of SiO2 was accomplished. Thus, the higher molecular weight radicals play an important role in the sidewall polymerization in contact holes because these radicals have a higher sticking coefficient than CF2 radicals. Selective generation of CF2 radicals and suppression of CxFy radicals are thus necessary to eliminate the microloading and etching-stop when formation high-aspect-contact-ratio holes.
4:20 PM PS2-ThA-8 Selective Etching of SiO2 in High Density Fluorocarbon Plasmas for Applications in Micro-systems
F. Gaboriau, M.-C. Peignon, G. Turban, Ch. Cardinaud (CNRS-University of Nantes, France)
In the recent years, plasma processes using high density sources have been extensively developed to meet the more and more stringent constraints required by integrated circuits fabrication. Among the various steps, dielectric etching is the more challenging as processes rely on polymerizing hydrofluorocarbon gases that produce simultaneously deposition and etching. It is thus difficult to achieve adequate SiO2/mask etch selectivity and to continue etching in high aspect ratio features at the same time. Our aim is to develop new plasma processes concerning the oxide etching step for micro-machining device elaboration. Fabrication of MEMS (micro electro mechanical systems) and O-MEMS (optical MEMS) requires several conditions : i) a higher etch rate, ii) an extreme selectivity, iii) much longer etching processes. Previous studies have shown that adding methane to a fluorocarbon gas (CHF3, C2F6, CF4) yields to a significant improvement of the selectivity from 4 to 20 with no significant loss in the SiO2 etch rate (300 nm/min). The present study using in-situ real time measurements by ellipsometry and quasi in-situ XPS analysis is focused on the influence of gas flow rate on the SiO2 and Si (acting as a mask) etching using a mixture C2F6-CH4. C2F6 was chosen due to a higher oxide etch rate compared to CHF3 and CF4. Increasing the gas flow rate when using pure C2F6 yields to an increase of both material etch rates ; the selectivity is thus unchanged and equal to 2. In contrast, using C2F6-CH4 mixtures with 40% of methane leads to a significant improvement of the selectivity (from 4 to 15) when increasing total gas flow rate ; indeed, oxide etch rate shows the same behavior as before whereas silicon etch rate decreases when increasing gas flow rate. Besides, XPS analysis exhibits a dependence between the silicon etch rate and the fluorocarbon layer thickness pointing out that this overlayer controls the silicon etching. Influence of the gas flow rate on the gas phase is under study using mass spectrometry, optical emission spectroscopy and Langmuir probe ; these diagnostics will allow us to determine the ion flux on the surface and to correlate the different evolutions of plasma species with the etch rate evolution for a better understanding of SiO2 and Si etch mechanisms.
5:00 PM PS2-ThA-10 Trench Etch Processes for Dual Damascene Patterning of Low-k Dielectrics
P. Jiang, F.G. Celii, W.W. Dostalik, K.J. Newton (Texas Instruments, Inc.); H. Sakima (Tokyo Electron America)
The use of dual damascene patterning for integration of Cu with low-k dielectric films has introduced new challenges for plasma etch processes. With a via-first dual damascene approach, an important issue for trench etch is defect formation (i.e., oxide ridges) around vias which can degrade device reliability. The use of low-k films as the dielectric material adds additional complexity and more limitation on the etch process parameters. This paper discusses the development of etch processes that meet the special requirements for Cu/low-k dual damascene trench etch. All experiments were conducted in a medium-density TEL Dipole Ring Magnetron (DRM) system. The dielectric film used here was an organosilicate glass (OSG). Using C4F8/N2/Ar chemistry, a trade-off was observed between etch rate and oxide ridge formation. The N2/Ar ratio was found to be the key parameter in controlling the severity of the oxide ridges, but eliminating the ridges using the N2/Ar ratio resulted in a low OSG etch rate and poor throughput. However, we will discuss an alternative method which achieves high OSG etch rate while maintaining CD control and ridge-free conditions. The effect of various process parameters on the OSG etch rate and ridge formation will be detailed. A comparison of experimental results against numerical simulations of C4F8-based bulk plasmas with varying gas flow ratios will also be reported.
Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule