AVS2000 Session TF-TuP: Poster Session

Tuesday, October 3, 2000 5:30 PM in Room Exhibit Hall C & D

Tuesday Afternoon

Time Period TuP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2000 Schedule

TF-TuP-1 Influence of Annealing Temperature on Simultaneous Vapor Deposited Calcium Phosphate Thin Films
M. Hamdi, A.M. Ektessabi (Kyoto University, Japan)
Calcium phosphate is an important bioceramic with tremendous potential for biomedical applications. Its unique bioactivity promotes rapid bone growth and strong interfacial fixation that has particular benefit to orthopedic and dental applications. The effect of different annealing temperature on the characteristics of thin film calcium phosphate coatings fabricated by a novel simultaneous vapor deposition method was investigated. Heat treating the as-deposited films was necessary to change the amorphous coating to a crystalline coating. The films were annealed for 3 h at 400, 700, 1000 and 1200°C in air. After annealing, the structure and the chemical composition of these films were characterized with incident light microscopy, rutherford backscattering spectroscopy and x-ray diffraction. Scratch test was conducted to measure the adhesion strength of the coatings to the substrate. Results showed that after annealing at 1000°C, crystalline hydroxyapatite appeared to co-exist with tricalcium phosphate phase. The surface roughness increased with increasing annealing temperature. The adhesion of the coatings was highly affected by the annealing temperature. From the results, it can be suggested that 1000-1200°C is probably the best annealing temperature range for calcium phosphate coatings produced by simultaneous vapor deposition method.
TF-TuP-3 Formation and Evolution of Photoluminescence Si-based Nanostructured Thin Films Prepared by Laser Ablation
A. Kabashin, M. Meunier (Ecole Polytechnique de Montreal, Canada); R. Leonelli (University of Montreal, Canada)
A method of Pulsed Laser Ablation (PLA) from a Si target in an inert He ambient has been applied in combination with different post-deposition oxidation procedures for the fabrication of Si/SiOx nanostructured films on Si substrates. The films exhibited strong visible photoluminescence (PL), which remained stable even under a prolonged continuous irradiation of the sample by an excitation laser light. The peak energy of the PL spectra could be finely varied between 1.58 and 2.15 eV by a change in the residual gas pressure during the deposition process. An effect of thermal annealing on the PL properties of the Si/SiOx films has been examined and compared with the results for Si-based films produced by thermal evaporation from a Si target in vacuum. For both deposition techniques, the thermal annealing led to a dramatic change of PL properties giving rise to a fixed PL peak around 2.2 eV. Photoluminescent properties of particles formed by PLA with natural oxidation were different than those of thermally oxidized amorphous Si films. In addition, the PL properties of the as-deposited films were found to be sensitive to surface chemistry reactions. A change of storage ambient and oxidation conditions could lead to significant changes of positions and intensities of PL peaks. Possible mechanisms of formation and evolution of PL are considered.
TF-TuP-4 Deposition of Device Quality Amorphous Silicon, a-Si:H, Thin Films by the Hollow Cathode Plasma-Jet Reactive Sputtering System
Z. Hubicka, R.J. Soukup, G.K. Pribil, N.J. Ianno (University of Nebraska, Lincoln)
Device quality hydrogenated amorphous silicon, a-Si:H, thin films have been deposited by means of a dc hollow cathode plasma jet with magnetic field confinement. Single crystal silicon nozzles have been reactively sputtered in the high density hollow cathode discharge. Only nontoxic gases argon and hydrogen have been used for this purpose. Different configurations of the dc hollow cathode have been used for the deposition process. Device quality a-Si:H thin films have been achieved with light to dark conductivity ratios >106 and with the light conductivity near 10-5 S and dark conductivity between 10-11 and 10-12 S. This was accomplished with a specific configuration of the hollow cathode discharge in the silicon nozzle. Our best films have a Tauc-band gap near 1.8 eV and an atomic hydrogen concentration of about 14%. The growth rate achieved for device quality a-Si:H films was in the range of 2 to 3 µm/h.
TF-TuP-5 Influence of Bias Voltages on the Oxygen Diffusion Behaviour in dc Magnetron Sputtered In/Sn Films
M. Quaas, H. Wulff, H. Steffen, R. Hippler (University of Greifswald, Germany)
Thin metallic In/Sn films were deposited on unheated Si(100) wafers by means of dc planar magnetron sputtering at different negative substrate voltages. The In/Sn x-ray reflection line profiles of these films are broadened. The broadened profiles can be considered as an indicator of decreasing lattice perfection in the as-deposited films. To study the influence of the different microstructures on the oxygen diffusion behaviour these films were annealed in a vacuum chamber mounted on a theta-theta-diffractometer. Using in-situ high-temperature grazing incidence x-ray diffractometry (GIXRD) the growth of crystalline indium-tin-oxide (ITO) layers was observed. From the time dependence of the ITO(222) peak intensity conclusions on the reaction kinetics can be drawn. An analytical model was developed for the investigation of the diffusion process by means of the x-ray integral intensity. From this model the effective diffusion coefficients D were determined for films deposited at different substrate voltages. The correllation between the film microstructure and the variing diffusion coefficients is discussed.
TF-TuP-6 Study on Indium Nitride Films Deposited by DC Magnetron Sputtering
P.K. Song, D. Sato, N. Ito, Y. Shigesato (Aoyama Gakuin University, Japan)
The III-V nitride semiconductors with a wurtzite structure such as aluminum nitride (AlN), gallium nitride (GaN), indium nitride (InN), have been investigated for various applications including optoelectronic devices because they have direct energy band gaps corresponding to wide wavelengths from the red to the ultraviolet. However, InN has received little attention compared with AlN or GaN, because its poor thermal stability and difficulties in depositions. In this study, InN films were successfully deposited on soda-lime glass at the substrate temperature Ts=RT-400ï½°C by dc reactive magnetron sputtering using In metal target under various total gas pressure (Ptot=0.5-3.0Pa) of mixture gases of Ar and N2. Crystal structure and surface morphology of the films were investigated by X-ray diffraction (XRD) and atomic force microscope (AFM). Without substrate heating, a clear InN (002) XRD peak was observed for the films deposited at 0.5-3.0 Pa with N2 gas flow ratio higher than 60%. With increasing Ptot from 0.5 to 3.0 Pa, degradation in the crystallinity of the films was clearly observed. Based on these results, two possible mechanisms are postulated. One is that the chemically active species generate by electron-impact dissociation of N2 molecules could be increased with the decreasing Ptot and increasing electron temperature, which should result in the enhancement of the crystallization during reactive film growth. Another mechanism is that the crystallinity of the film is affected by the kinetic energy of sputtered In atoms arriving at substrate surface which could enhance surface migration of the In atoms and hence the crystallinity. The effects of increasing Ts on the film structure will also be discussed in detail.
TF-TuP-7 The Effect of Heat-treatment on the Structural and Mechanical Properties of MoS2/Ti Composite Coatings
B.J. Kim, J.H. Dautzenberg (Eindhoven University of Technology, The Netherlands)
MoS2/Ti composite coatings have been coated on stainless steel by dc magnetron sputtering. After deposition, MoS2/Ti coatings were heat-treated in vacuum in order to investigate the effect of heat treatment on the mechanical properties of the coating. Before and after heat treatment, the structure and concentration of co-sputtered MoS2/Ti coating were analyzed by x-ray diffraction method, energy disperse spectroscopy, as well as x-ray photoelectron spectroscopy. The mechanical properties of the coatings such as hardness, elastic modulus, residual stress, and critical fracture strain of bending, were also measured by nano-indentation method, thin foil method, and bending test with electro-chemical detection. Ti addition to MoS2 coating prohibits the micro- or macro-crystallized structure of the coating, and MoS2/Ti coating makes a single-phase solid solution structure up to 20 at% Ti. Mechanical properties of coating are dependent on Ti concentration. The hardness and elastic modulus of MoS2/Ti composite coatings increased as increasing Ti concentration. The residual stresses of MoS2/Ti composite coatings dramatically increased by adding Ti to MoS2 coating. MoS2/Ti composite coatings were micro- or macro-crystallized if the heat treatment temperature was higher than 500°C. It resulted in the increase of porosity and decrease of hardness of the coating. The concentration of sulfur abruptly decreased, if the heat treatment temperature is higher than 750°C.
TF-TuP-8 Supermagnetron Plasma CVD and Qualitative Analysis of Electrical Conductive Hard Carbon (DLC) Films
H. Kinoshita, M. Yoshida (Shizuoka University, Japan)
Using a supermagnetron plasma chemical vapor deposition (CVD) method, electrical conductive diamond-like carbon (DLC) films were formed.1 The electrical conductive DLC films were suited for the formation of high performance field emitters, which were formed by coating Si tips with them. In the formation of DLC films on Si and glass wafers, i-C4H10/N2 mixed gases were introduced into the discharge chamber. Deposition rate, hardness and resistivity were measured as a function of N2 concentration, total gas pressure, rf powers or temperature of lower-electrode on which a wafer was put. With increase of N2 concentration (up to 70%), rf powers and lower-electrode temperature, the film resisitivity was decreased. And also with decrease of total gas pressure, the film resisitivity was decreased. FT-IR spectroscopy measurements revealed that the increase in electrical conductivity could be attributed to CN single and triple bonds creation in DLC films. The lowest resistivity of 0.034 Ω cm was achieved at the N2 concentration of 65%, total gas pressure of 30mTorr, upper- and lower-electrode rf powers of 1kW/1kW, and lower-electrode temperature of 100 °C.


1H.Kinoshita and A.Yamauchi, J.Vac.Sci.Technol.A 14, 1933 (1996).

TF-TuP-9 Deposition of W Films using Different Underlayers
L.V. Kozlovsky, A. Antinsh, V. Pashkevich (University of Daugavpils, Latvia)
It is known that the formation of beta-Ta crystalline phase in sputtered Ta films depends on the nature of the substrate or underlayer. Comparison of our data as well as of results of various authors reveals the correlation between beta-Ta formation in Ta/Me bilayers and mismatching of the shortest interatomic distances (SID) in bcc Ta and in Me. Beta-Ta phase presents in the Ta films when SID mismatching greater of 9%. The aim of the present work was to determine whether beta-W formation in W/Me bilayers occurs at such conditions. We deposited 100 nm Me/X nm W (Me: Nb,Al, Fe, Zr, Hf, Dy ; X = 20,50, 100, 200 nm) bilayers on near room-temperature glass substrates in a Xe discharge at a pressure of (7 - 9) x10-4 Torr using Penning discharge sputtering devices. The base pressure was nearly 5x10-9 Torr.10 nm C underlayer was deposited on substrates at the same vacuum conditions before bilayers deposition. The structure of the films was investigated by X-ray diffraction (XRD). In the case of Nb and Al the SID mismatching is less of 4% but for other metals it is in the interval (10 - 28)%. Wolfram layers structure was characterized as bcc W. XRD profiles for all bilayers had no peaks corresponding to beta-W. W films on Nb and Fe had texture (110). Preferred orientation of {110} and {211} planes parallel to the substrate plane was found in W layers in the case of Al, Zr, Hf, Dy underlayers. The results of present work have shown that beta-W formation in Me/W bilayers is not dependent on underlayer. Data of the bilayers crystal structure investigations will be presented and discussed.
TF-TuP-10 Energetic Oxygen Ions in the Reactive Sputtering of Zr Target in Ar+O2 Atmosphere
K. Tominaga, T. Kikuma (University of Tokushima, Japan)
In the sputtering of Zr target in Ar+O2 atmosphere, ZrO2 films are deposited. However, sometimes anomalous phenomena that the films are easily peeled from the substrate or degraded. These seems to be due to the presence of the energetic oxygen ions which are generated in the sputtering. Therefore it becomes important to know the extent of the flux of energetic oxygen ions. We constructed a probe to estimate the flux of energetic oxygen ions and applied it to the observation of the energetic oxygen ions in the reactive sputtering of Zr. At the same time, we observed the photoemission intensity from the sputtered Zr atoms, monitoring the target surface oxidization. The results show that the Zr target is very active in oxygen atmosphere and oxydized fully with a small oxygen partial pressure. The flux of energetic oxygen ions increases with increasing the oxidized area of the target. The flux of energetic oxygen ions for Zr target is 2 or 3 times stronger than that for Zn target. This is thought to be due to the thick oxygen layers on the Zr target.
TF-TuP-11 Low Temperature Crystallization of TiO2 Thin Films Sputter-deposited in Ar-H2O Plasma
T. Ohwaki, Y. Taga (TOYOTA Central R&D Labs., Inc., Japan)
Polycrystalline TiO2 thin films are widely used as photocatalytic materials. Low temperature crystallization of the films is one of the important techniques for realizing wide spread application. We studied low temperature process for preparing TiO2 thin films by sputtering. We found that the TiO2 thin films deposited by reactive magnetron sputtering of Argon-water plasma were crystallized to anatase poly-crystal by post-annealing at only 200°C in air, while TiO2 thin films sputter-deposited by Argon-oxygen plasma were crystallized at above 500°C post-annealing. To clarify the mechanism of low temperature crystallization, we investigated the structure of TiO2 thin films sputter-deposited by Ar-D2O or Ar-O2 plasma by means of Infrared-Reflection Absorption Spectroscopy (IR-RAS). The detailed analyses of the absorption bands revealed an existence of hydroxyl groups in TiO2 thin films sputter-deposited by Ar-D2O plasma and relaxation of the lattice. This result suggests that the introduced hydroxyl groups cut the amorphous network structure of the TiO2 thin films, which enhances the rearrangement of the atoms in the films by post-annealing.
TF-TuP-12 Selective Growth of TiO2 Thin Films on Si(100) Surfaces by Combination of MOCVD and Microcontact Printing Method
B.-C. Kang, J.-H. Lee, H.-Y. Chae, D.-Y. Jung, S.-B. Lee, J.-H. Boo (Sungkyunkwan University, Korea)
Patterning of TiO2 thin films was successfully performed by MOCVD onto Si (100) substrates of which surface were modified by an organic thin film. The organic thin film of self-assembled monolayer (SAM) was in first obtained by the micro-contact printing (µm-CP) method. Selective deposition of TiO2 thin film with 1500 Å thickness has then been carried out onto those surfaces at the temperature in the range of 300 to 500 °C by MOCVD without any carrier and bubbler gas. AES and XRD analysis showed that deposited TiO2 thin film has a stoichiometric composition in the depth and polycrystalline anatase phase. α-step profile and optical microscopic images also showed that the boundaries between OTS SAMs areas and selectively deposited TiO2 thin film areas are very definite and sharp. Capacitance-voltage measurement made on a TiO2 thin film gave a dielectric constant of 21, suggesting a possibility of electronic materials applications.
TF-TuP-13 Oxidation Kinetics of the Growth of Thin Alumina formed by Plasma Oxidation
A. Quade, H. Wulff (University of Greifswald, Germany)
Plasma treatment is an original way to modify the surface of metals and to improve their properties for an application in industry. In our study thin Al films were plasma oxidized using a 2.45 GHz slot antenna microwave plasma source (SLAN) at different types of chemical reactive and non-reactive plasma species to form thin aluminium oxide films. To determine the activation energy for plasma oxidation process investigations at different substrate temperatures were performed. For the characterization of the Al and the developed oxide grazing incidence x-ray reflectometry (GIXR), grazing incidence x-ray diffractometry (GIXRD), Fourier-Transform infrared spectroscopy (FT-IR) and x-ray photoelectron spectroscopy (XPS) were used. Because the formed alumina is x-ray amorphous, the integral intensity of Al(111) peak of the non-reacted Al film was determined time-controlled for the quantification of the developed oxide. Together with the total thickness of the layer these values allow the calculation of significant kinetic parameters. The alumina film growth is controlled by two rival processes, a diffusion process and a sputter process. The growth kinetics depends on plasma type, concentration of activated oxygen species and temperature.
TF-TuP-14 Epitaxial Growth of CeO2 Film on YSZ Buffered Si (111) Substrates
J.H. Yang, K.W. Lee, J.W. Seo, C.Y. Park (Sungkyunkwan University, Korea)
We have grown cerium dioxide (CeO2) films as an insulating layer for silicon-on-insulator (SOI) structure. When CeO2 was deposited on Si (111) substrate up to 620 by using electron beam evaporator (ANELVA VI-43N), at the interface between CeO2 film and Si (111) substrates, the SiO2 layer was observed which is in agreement with earlier report.1 The structure of CeO2 films on Si (111) substrate was formed to be columnar. We observed that the columnar structure of CeO2 films and SiO2 formation disturbed the epitaxial growth of the Si film on top layer. Hence, we have deposited YSZ as a buffer layer on the Si (111) substrate and grown CeO2 film. We analyzed YSZ and CeO2 films by XRD, HRTEM and AFM. We found that deposition of YSZ on Si (111) prohibits the growth of SiO2 layer. The morphology of CeO2 film on YSZ buffered substrates was improved as compare with the morphology of CeO2 film with not YSZ buffered substrates.


1C.G. Kim et al, J. Kor. Phys. Soc., 32, 64(1998).

TF-TuP-15 The Effect of Annealing on the Electroless Plated Cu Metallization for Sub-micron Interconnection
J.H. Lin (National Tsing Hua University, Taiwan); T.L. Lee (National Chiao Tung University, Taiwan); Y.Y. Tsai, X.W. Liu (National Tsing Hua University, Taiwan); C.C. Lin (National Chiao Tung University, Taiwan); H.C. Shih (National Tsing Hua University, Taiwan)
Both patterned and blank wafers are carried out using Pd as catalyst by plasma immersion ion implantation (PIII) after which Cu is electroless plated. The characteristics of electroless plated copper specimens after annealing in the 95% nitrogen + 5% hydrogen ambient atmosphere with an annealing temperature from 150 to 700 for 1 hour are investigated by sheet resistance, surface roughness, crystallographic texture, cross-section morphology, film hardness, adhesion strength and microstructure. The sheet resistivity of Cu film decreases from 3.8 to 3.3 µ *-cm after annealing at the temperature from 150 to 700. AFM shows that the surface became rougher as the annealing temperature getting higher. HRTEM images show that, the Pd implantation layer of the as-received specimen is an amorphous structure and crystallized after the annealing treatment. If the annealing temperature is higher than 300, the copper reflow effect in via or trench is very clear. Therefore, the post electroless copper annealing at about 300 stabilizes the copper microstructure and completes interconnect metallization performance.
TF-TuP-16 SrBi2Ta2O9 Ferroelectric Films Deposited by PLD Under Different Annealing Conditions
M.P. Cruz (Centro de Investigacion y de Estudios Superiores de Ensenada, México); J.J. Portelles (Universidad de La Habana, Cuba); J.M. Siqueiros (UNAM, México)
A new step in the deposition process of SrBi2Ta2O9 (SBT) films is introduced to avoid short circuits in the electrode-ferroelectric-electrode capacitor due to microcracks in the SBT material. Here, the SrBi2Ta2O9 films, deposited on Pt/TiO2/SiO2/Si substrates by PLD, were grown in a two-step process, each with its corresponding annealing treatment, in such a way that the second deposit fills the cracks of the first layer preventing the percolation of the electrode material from the top to the bottom electrode. SEM, TEM, XRD and AES techniques were used to characterize the films. X-ray analysis showed a BiO2 crystalline phase for low deposition and annealing temperatures and its transformation into polycrystalline SBT as those temperatures increased. After Pt top electrodes were deposited on the SBT films, the micro structural results obtained with the abovementioned techniques, were correlated to the ferroelectric properties observing an increase in polarization values with processing temperature. DGAPA-UNAM, Proj. IN104000, CoNaCyT, Proj. 33856E. Thanks are due to E. Aparicio, P. Bartolo, J. Fernández, I. Gradilla, P. Ruiz and G. Vilchis.
TF-TuP-17 Effect of Pulsing in Dual-mode Microwave/Radio Frequency Plasma on the Growth of SiN1.3 Optical and Protective Coatings
R. Vernhes, O. Zabeida, J.E. Klemberg-Sapieha, L. Martinu (Ecole Polytechnique of Montreal, Canada)
Plasma enhanced chemical vapor deposition (PECVD) is becoming increasingly attractive for the fabrication of optical films and coatings. The main reason is a possibility to obtain suitable optical, mechanical, permeation barrier and other functional characteristics when depositing on temperature-sensitive substrates such as polymers. In the present work, we deposited amorphous hydrogenated silicon nitride (SiN1.3) in dual-mode microwave/radio frequency (MW/rf, 2.45 GHz / 13.56 MHz) discharge using a silane - ammonia mixture. We systematically studied the effect of MW and rf power modulation, namely the effect of pulsing frequency, duty cycle, and pulse synchronization on the optical (refractive index, extinction coefficient), mechanical (stress, microhardness, adhesion, etc.) and microstructural characteristics (concentration of hydrogen, chemical bonding, sutface morphology etc.). Using time- and mass-resolved ion energy analysis, we determined the effect of dissipated power and self-bias potential on the instantaneous ion energy distribution functions and on the energetics of the film growth. We found that, depending on rf matching, two distinct modes of operating the pulsed MW/rf discharge are possible, namely (i) when rf power is delivered during MW pulse, and (ii) when it is delivered between MW pulses. We discuss the possibilities to match in one design the optical and mechanical properties necessary to obtain enhanced film system stability for advanced optical and optoelectronic applications.
TF-TuP-18 Synthesis of Cubic Boron Nitride Films on Ion Implanted Silicon Substrates
Q. Li, Z.F. Zhou, I. Bello, C.S. Lee, S.T. Lee (City University of Hong Kong, China)
Cubic boron nitride (c-BN) films were prepared by radiofrequency (rf) magnetron sputtering of a hexagonal boron nitride (h-BN) target in Ar/N2 mixtures. The boron nitride films were deposited on chemically cleaned and in-situ presputtered silicon substrates. The substrates were implanted by boron, nitrogen and nickel ions and their combinations. During deposition, the substrates were pulsed biased and heated to 600 °C. The synthesized c-BN films showed good adherence to the substrate and exhibited phase purity over 90 % as determined by fourier transform infrared spectroscopy (FTIR). Atomic scale analysis, using high resolution transmission electron microscopy (HRTEM), showed nanocrystalline boron nitride structure. Cubic boron nitride phase grew both on the silicon substrates directly and the top of transition h-BN layers. The presented c-BN synthesis reduced the film stress as indicated by the beam bending technique and shift of the absorption peak of TO mode in FTIR spectra. The implanted species acted as active sites for film/substrate interfacial reaction and contributed to the stress reduction. The experimental results showed that the film stress was tailored by the presented novel method established on interfacial engineering which in return yielded high quality c-BN films.
TF-TuP-19 Preparation and Characterization of Amorphous CNx Thin Films by Pulsed Laser Deposition
Y. Aoi, K. Ono, K. Sakurada, E. Kamijo (Ryukoku University, Japan); M. Sasaki, K. Sakayama (Industrial Research Center of Shiga Prefecture, Japan)
Compounds in carbon-nitrogen system are interesting because they exhibit unique properties such as high value of hardness, low friction coefficient, chemical inertness, and variable elctronic and optical properties. In this paper, amorphous carbon nitride (a-CNx) thin films were deposited on a Si substrate by pulsed laser deposition (PLD) by use of graphite target under various deposition conditions. We have investigated the bonding structure of deposited films. Structural changes by heat treatment were also investigated. The PLD system used in this study consists of a KrF excimer laser, nitrogen radical beam source, and vacum chamber. The laser beam was focused onto a rotating graphite target surface to give various energy density. Deposition was carried out in N2 atmosphere, in Nitrogen plasma, and under irradiation of nitrogen radical beam. Heat treatment of films were carried out following to the deposition in the vacuum chamber. The films were charactereized by XPS, FT-IR, Raman spectroscopy, and TEM observation. The maximum N/C ratio of the deposited film was 0.24 in the present experimental conditions. N 1s electron spectra of deposited films indicated the nitrogen atoms in the film were mainly bonded to sp2 and sp3 hybridized carbon atoms. FT-IR and Raman spectra indicated that N-spC are small as compared with N-sp2C and N-sp3C. The fraction of N-sp3C increased with increasing N/C ratio in the deposited film. The heat treatment induced a nitrogen loss and graphitization of the film. It was found that nitrogen atoms bonded to sp3C are preferentially eliminated by heat treatment.
TF-TuP-20 Sputtered Aluminum Nitride Thin Films for Bulk Acoustic Wave Resonators
R.N. Tait (Carleton University, Canada)
Thin film bulk acoustic resonators formed by sputter deposition of piezoelectric films of aluminum nitride on silicon substrates offer an attractive approach to monolithic integration of high Q passive circuit elements with active devices. Such resonators could provide low cost, high performance filters for the steadily growing numbers of consumer wireless communication devices. Fabrication of these resonators requires a well characterized low temperature deposition process for aluminum nitride. This material has been studied for use in sensors and actuators, as well as acoustic wave devices. Radio frequency reactive magnetron sputtering produces high quality films. The deposition rate is too slow to be practical for many applications, however for high frequency resonators operating above 1 GHz the film thickness and deposition time is more reasonable. This work describes aluminum nitride films deposited by radio frequency reactive magnetron sputtering, using a Box-Behnken experimental design. Factors included power from 2 to 6 W/cm2, pressure from 1 to 1.6 Pa, and N2 flow from 50% to 100% of the total flow. Measured results include X-ray diffraction spectra and measurements of piezoelectric coefficients. X-ray diffraction measurements indicate highly (002) c-axis oriented films. Piezoelectric coefficients were measured by depositing aluminum nitride capacitors on silicon beams and measuring charge generation as each beam was deflected a known distance. These results provide parameters for films that enable accurate modeling and design of high frequency thin film bulk acoustic wave resonators.
TF-TuP-21 Monochromatized Light Emitter using Si Doped Glass with Dielectric Multilayer Resonator
T. Ichinohe (Tokyo National College of Technology, Japan); S. Nozaki, H. Morisaki (The University of Electro-Communications, Japan); S. Masaki (Tokyo National College of Technology, Japan); K. Kawasaki (TDY Co. Ltd., Japan)
Extensive studies have been made on nanometer sized Si ultrafine particle films (the Si nano-structured films) as a new functional thin film material applicable to Si based photo-electronic functional devices. Si-doped glass (Si-DG) films, especially, are expected because of the chemical stability and less aging degradation. However, they have wide bandwidth of luminescence spectral. To overcome the drawback for light emitter using Si-DG films, we fabricated a Fabry-Perot optical resonator with dielectric multilayer reflector. Both Si-DG films and multilayered reflectors were formed by the ion beam sputter-deposition (IBSD) technique. A conventional method to fabricate Si-DG films was the co-sputtering of a composite target, composed of Si chips placed on a SiO2 target. The multilayer-reflecting mirror was fabricated by alternate sputtering of 5-inch CeO2 target and Si/SiO2 composite one. The quarter-wavelength optical thickness rule was used to design the multilayered reflector. To activate a Si-DG layer for a light emitter, the Si-DG film was heat-treated with about 900 °C. It was found that the heat-treatment was contributed to form Si nano particles with a diameter of about 3-4 nm estimated by TEM observation. The luminescent Si-DG film showed extremely broad PL spectra, the full width of half maximum (FWHM) being typically 1 eV. In order to improve the spectral distribution, the PL spectrum of Si-DG film with the optical cavity, which was sandwiched by metal (Ag) film and a dielectric multilayered reflector, reduced down about 0.1 eV, using a 20 paired CeO2/Si-DG multilayer reflector. The application to EL device with the narrow band spectrum can be made by the improvement of contact electrode.
TF-TuP-22 Measurement of Boron and Phosphorus Concentration in BPSG Thin Films Using FTIR and Artificial Neural Networks
M.F. Tabet, W.A. McGahan (Nanometrics Inc.)
Borophosphosilicate glass (BPSG) thin films have been widely used in semiconductor device fabrication as interlayer dielectric films due to their excellent planarization, flow properties, and passivation barriers against moisture penetration. FTIR spectroscopy combined with chemometric techniques has been shown to accurately measure boron and phosphorus concentrations in BPSG thin films. A neural network is a set of simple, highly interconnected processing elements imitating the architecture of the human brain, which are capable of learning information presented to them. In this work Reflectometry measured at the same location as the FTIR is used to accurately measure film thickness. The thickness along with the FTIR data are then presented to a trained neural network which predicts the boron and phosphorus concentration in the film. Reflectance, FTIR and an independent measurement of concentration, XRF in this case, from a set of calibration wafers is required to train the neural network. Predicted concentrations from both calibration and test wafers were an average of 1 percent off XRF measurements. This measurement program was implemented on a Nanometrics NanoSpec 8000XSE, a standalone metrology tool, and will simultaneously measure thickness, index and both boron and phosphorus concentrations. This measurement can be easily transferred to the NanoSpec 9000, which is an integrated metrology tool. This measurement system is ultra-compact and was designed specifically for integration into semiconductor processing equipment. Integrated measurements of thickness, optical constants and dopent concentration would improve overall equipment effectiveness through advanced process control. This would provide process engineers immediate feedback and should reduce scrap and monitor wafers.
TF-TuP-23 Investigation of the W-TiN Metal Gate for Metal-Oxide-Semiconductor Devices
S. Youn, K. Roh, S. Yang, Y. Roh, Y.C. Jang, K.S. Kim, N.-E. Lee (Sungkyunkwan University, Korea)
Several research groups recently proposed that W-TiN metal gate deposited on thin SiO2 may be suitable for the deep-submicron MOSFETs. Although the previous work demonstrates the promising results, the roles of TiN have not been systematically studied. We present experimental results in the present work that the change of Ar to N2 ratio during the TiN deposition by the reactive sputtering decides the crystallinity of LPCVD W, as well as the electrical properties of the W-TiN/SiO2/Si capacitor. In particular we show that the threshold voltage can be controlled via changing the Ar to N2 ratio. MOS capacitors were fabricated on 4~7Ω-cm, (100) p-type Si wafers. Thermal oxidation of the Si was carried out at 850°C for 80 s using RTP, resulting in an oxide thickness of ~110Å. TiN thin films were then deposited directly on SiO2 by a reactive sputtering system. The Ar/N2 flow was changed from 1/6 to 6/6 sccm. RTP was used for the annealing of TiN at 600-800°C for 3 min. Then LPCVD W films were deposited on TiN; the temperature, pressure, and flow rate were 350°C, 0.7 Torr, and WF6/SiH4/H2=5/10/500 sccm, respectively. The LPCVD W/SiO2/Si MOS capacitor was also fabricated to investigate the roles of TiN. As compared to the results obtained from the LPCVD W/SiO2/Si MOS capacitor, the insertion of approximately 20 nm TiN film effectively prohibits the fluorine diffusion during the deposition and annealing of W films, resulting in negligible leakage currents at the low electric fields. In addition, XRD analysis indicated that the preferred orientations of TiN and W films were determined by the change of Ar to N2 ratio. For example, if the ratio of Ar/N2 flow increases, a strong W(211) peak was developed and the resistivity of CVD W-TiN gate slightly decreases. In addition, the flatband voltage of MOS capacitors was shifted to the positive gate voltage when the ratio of Ar/N2 flow increases. We interpreted that this positive shift may be caused by the work-function difference that is caused by the incorporation of N2 during the sputtering process.
TF-TuP-24 Effect of Interlayer on Thermal Stability of Nickel Silicide
J.S. Maa, Y. Ono, F. Zhang, S.T. Hsu (Sharp Laboratories of America, Inc.)
Nickel silicide is one of the silicide material for future IC devices with ultra-shallow junctions. It has a low Si consumption rate. Low resistance nickel monosilicide can be applied easilly to sub-100nm structures. The major challenge is its poor therml stability for film of about 20nm. Here we study the effect of interlayer materials of Ti, Al, Pt, and Pd on the structure and thermal stability of nickel silicide. Ti and Al can enhance the epitaxial growth of nickel disilicide, but Pt can improve the thermal stability of nickel monosilicide. Pd was found not as effective as Pt. By using sequential deposition and RTA annealing, stable silicide can be formed on ultra-shallow junction with a 40nm junction depth. It is demonstrated that this structure is stable at 800 degree C with very low junction leakage.
TF-TuP-25 Optical and Structural Properties of Sol-gel SiO2 Layers Containing Cobalt
A. Ramos-Mendoza, H. Tototzintle-Huitle, A. Mendoza-Galván, J. González-Hernández (CINVESTAV-IPN, México); B.S. Chao (Energy Conversion Devices, Inc.)
SiO2 layers containing cobalt in the range of 2 to 11 percent in volume were prepared using the sol-gel method. The layers with a thickness of about 600 nm were heat treated in air at 300 and 500 °C. Their structure and optical properties were characterized using optical transmission and reflection measurements, x-ray diffraction and Auger depth profile. The optical transmission data in the UV-visible range, of samples with low cobalt concentrations, show only the absorption bands corresponding to the tetragonal Co, regardless of the heat treatment temperature and the Co concentration. Layers with larger amount of Co, show absorption bands corresponding to both, tetragonal and octahedral Co. When these layers are heat treated, most of the cobalt migrates to the layer surface, being oxidized by the atmospheric oxygen, to form a conducting top layer of Co3O4, which thickness depends on the heat treatment temperature and Co concentratation. The presence of this top layer has been deduced from optical and Auger depth profile measurements. The cobalt oxide layer thickness ranges from about 100 to 7 nm. In order to describe the absorption bands of both, tetragonal and octahedral Co, it was used the Lorentz oscillator model for the complex effective dielectric function of the SiO2 cobalt doped layers. The frequency dependence of the optical constants of the cobalt oxide top layer, in the UV-visible range, was obtained with a generalized Lorentz oscillator model. These latter results are in a good agreement with previous reports.
TF-TuP-26 Perpendicular Magnetic Anisotropy in Ultrathin YIG Films Prepared by Pulsed Laser Deposition Technique
E. Popova, N. Keller (Versailles University, France); F. Gendron (Pierre and Marie Curie University, France); M. Guyot, M.-C. Brianso, M. Tessier (Versailles University, France)
The development of high frequency and magneto-optical memory devices increases the interest in thin ferrite film preparation and investigation of their physical properties. A change of these properties is expected when the film thickness becomes of the order of a few lattice parameters. We report on thin and ultrathin yttrium iron garnet (YIG) film preparation by pulsed laser deposition technique. The films deposited on the quartz substrates are polycrystalline with slightly distorted lattice, though the average lattice parameter is the same as for bulk YIG (12.376 Å). The bulk Curie temperature of 557 K is observed for these films by means of magneto-optical Faraday rotation measurements. However, the saturation magnetization is slightly inferior to the bulk value in the range of 5 - 380 K. Ferromagnetic resonance (FMR) studies of samples with thickness 100 - 3800 Å were performed in different measurement geometries and in the temperature range of 3.5 - 300 K. We observed a change of sign of the effective magnetization (4πMeff) appearing below a film thickness of approximately 120 Å. The inversion of the easy magnetization direction from in-plane to out-of-plane, i.e. the perpendicular magnetic anisotropy becomes evident above a cross-over temperature which is thickness-dependent. The effective magnetization for a given temperature decreased with decreasing sample thickness. This can be caused by an increasing contribution of the surface anisotropy to 4πMeff when the sample thickness is reduced.
TF-TuP-27 Mechanical and Structural Characteristics of Nanocrystalline Diamond (NCD) and Diamond-like Carbon (DLC) Coatings
P. Jedrzejowski (Ecole Polytechnique de Montreal, Canada); J. Grabarczyk, P. Niedzielski, S. Mitura (Technical University of Lodz, Poland); J.E. Klemberg-Sapieha, L. Martinu (Ecole Polytechnique de Montreal, Canada)
NCD and DLC films are increasingly attractive for the applications such as biocompatible coatings for metallic implants, anticorrosive protection or metallurgical coatings for tools. In the present work we fabricated NCD films by plasma enhanced chemical vapour deposition (PECVD) from methane using a novel high amplitude radiofrequency system. The film microstructure and mechanical properties were evaluated using Raman spectroscopy, elastic recoil detection, AFM, SEM, indentation and microscratch testing. We evaluated the effect of the structured intermediate carbide layer (interphase) on the film performance on substrates for medical applications such as AISI 316L steel, Vitalium and Titanium alloys. Breakdown voltage measured on samples exposed to Tyrod's solution was chosen as an appropriate technique to determine the coatings performance in simulated body environment. The NCD films are compared with standard DLC coatings.
TF-TuP-28 Microstructure and Optoelectronic Properties of a-SiGe:H Thin Films Fabricated by the Low Frequency (55 kHz) Glow Discharge
B.G. Budaguan, A.A. Sherchenkov, G.L. Gorbulin (Moscow Institute of Electronic Technology, Russia); A.A. Berdnikov (Moscow Institute of Microelectronics of Russian Academy of Science, Russia); V.D. Chernomordic (Institute of Microelectronics of Russian Academy of Science); A.A. Aivazov (UniSil Corp.)
The incorporation of Ge in a-Si:H allows to decrease the optical band gap which is necessary for a different optoelectronic applications such as infrared sensors, solar cells, etc. However the increase of Ge content leads to the increase of defects and to the deterioration of the electronic properties. So, the development of the appropriate fabrication technology of a-SiGe:H films is essential. We have shown that a-Si:H films with high electronic properties can be fabricated with using of low frequency (55 kHz) glow discharge. In this work we deposited a-SiGe:H for the first time by this method and investigated the growth mechanism, microstructure and optoelectronic properties of the layers. The a-SiGe:H films were fabricated at different germane content from 0 to 44.5%, and substrate temperatures, Ts, from 175 to 275 °C. It was shown that the high deposition rate of a-SiGe:H is caused by an increased flux of radicals to the growth surface due to the close position of the radical generation region to the electrode. The joint analysis with using of infrared and atomic force microscopy showed that a-SiGe:H films have an island type morphology. The measurements of optoelectronic properties indicate that the decrease of the Eg with the increase of GeH4 is determined by the increase of the concentration of Ge-Si bonds in the interior of islands, while the Si-Hn and Ge-Hn bonds are clustered on the island surfaces and does not affect the optical bandgap. The modeling of the photoconductivity showed that density of states distribution does not significantly change with the decrease of Ts. Small decrease of photoconductivity accompanied by large decrease of dark conductivity leads to the high values of photosensitivity at lower temperatures for a-SiGe:H with Eg as low as 1.5 eV. Thus, 55 kHz glow discharge method allows to fabricate device quality low band gap a-SiGe:H films at low Ts and high deposition rate.
TF-TuP-29 Deposition and Properties of Tetrahedral Carbon Films Prepared on Magnetic Hard Disks
C.Y. Chan, K.H. Lai, M.K. Fung, I. Bello, R.F. Huang, C.S. Lee, S.T. Lee (City University of Hong Kong, China); S.P. Wong (Chinese University of Hong Kong, China)
The areal density of the hard disk doubles every two years. Such substantial increase in disk storage is due to the application of giant magneto-resistance (GMR) heads, new thin film media, and better electronic recording channels. However, such increment cannot be easily attained without reducing the separation between head and magnetic recording medium interface. This can be achieved by using thinner protective overcoating. Here, tetrahedral carbon (ta-C) ultra-thin films were deposited on magnetic hard disk (CoCrTa/Cr/NiP/Al-Mg) by magnetic filtered cathodic arc with variable substrate bias voltage. The resulting films exhibited smoother surfaces than those uncoated disks as indicated by atomic force microscopic measurements and gave rise to a single asymmetric Lorentzian Raman curve shape. Tetrahedral carbon coatings were subjected to an accelerated corrosion test in vapors of concentrated hydrochloric acid for 24 hours. The corrosion test showed the reduced density of corrosion sites when compared to conventional diamond-like carbon (DLC) films. Similarly, the scratch resistance of the ta-C coated disks, investigated by a nano-indenter, showed significant improvement in comparison to DLC films.
TF-TuP-30 Properties of Multicomponent Transition Metal Carbide Coatings Prepared by Magnetron Sputtering
S.H. Koutzaki, J.E. Krzanowski (University of New Hampshire)
The mechanical and tribological properties of conventional carbide hard coating materials can potentially be improved by developing multicomponent films with nano-scale microstructures. However, the microstructures and phases that form in PVD thin films are often far from equilibrium, so it is necessary to investigate these aspects of candidate multicomponent systems in order to assess their potential as nano-structured hard coatings. Two multicomponent metal carbide systems were investigated in this study, Ti-Mo-C and Ti-W-C. Coatings were fabricated by RF co-sputtering from carbide targets using target combinations of TiC-Mo2C and TiC-WC. Films were deposited on silicon and sapphire substrates at temperatures ranging from room temperature to 650C. The coatings were characterized by XPS, X-ray diffraction, TEM, and nanoindentation. For Ti-Mo-C films, nearly all film compositions were supersaturated solid solutions of Mo in TiC, and multiphase structures could only be obtained in highly Mo-rich films. The hardness of these films generally did not improve with Mo content. Films deposited from TiC and stoichiometric a-WC targets formed only (Ti,W)C solid solutions. The hardness of the sputtered Ti-W-C coatings was in the range of 15-17 GPa, with the exception of one Ti-W-C (40 %W) that had a hardness of 30 GPa. This latter sample was examined using high-resolution TEM, and in comparison to the other Ti-W-C films, was found to have a significantly smaller grain size and a higher film density.
TF-TuP-31 Ferromagnetic Resonance and Magnetic Anisotropy in Epitaxial Fe/Ag Thin Films on GaAs (100)
W. Wu (University of California, Irvine); C.S. Tsai (University of California, Irvine and Academia Sinica, Taiwan); C.C. Lee, H.J. Yoo, R. Chuang, H. Hopster (University of California, Irvine)
Iron/silver thin films were epitaxially grown on GaAs (100) substrate by molecule beam epitaxy (MBE) system at different growing temperatures and layer structures. Magneto-optic kerr effect (MOKE) experiment was used to measure the magnetization and sample magnetic anisotropy. The common features in the ferromagnetic resonance (FMR) peak-to-peak linewidth δHpp are identified, which are dependent on layer structures and growth condition. The measured narrowest linewidth δHpp is 26 Oe. We study the coupling between the ultrahigh frequency microwave signal and the spin excitation happened in ferromagnetic Fe thin film. Maximum coupling and thus strong attenuation of the microwave power occur at the FMR frequency fres of Fe, as determined by the applied magnetic fields. Microwave notch filter devices were successfully fabricated using the deposited magnetic structures. Because of the high saturation magnetization of Fe film, it is much easy to achieve higher devices operation frequency under relatively lower applied magnetic field. The peak absorption carrier frequency of a propagating microwave has been tuned in a range from 9.6 to 21 GHz in a modest magnetic field from 0 to 2900 Oe for single layer structures. For multilayer structures, peak absorptions are intensified with tuned range from 10.6 to 27 GHz. The experimental results are in good agreement with the theoretical prediction for the case in which the magnetic field is applied along the easy axis of the Fe film. It is desirable to incorporate this kind of magneto static wave (MSW)-based devices in compound semiconductor system, in order to achieve integration into microwave integrated circuits.
TF-TuP-32 Importance of Thermal Stress in a Thin Film Lipon Solid Electrolyte
F. Vereda, R.B. Goldner, T. Haas (Tufts University)
Because a near term goal of our research is to obtain optimal performance physically vapor-deposited LiCoO2/Lipon/C thin film batteries (Lipon = lithium phosphorus oxynitrade), and due to the major importance of the electrolyte in any battery, we have recently been attempting to better understand the causes of electronic shorting that affects our Lipon electrolyte films. After studying the residual and temperature-dependent stress of these films and observing severe cracking after they had undergone a relatively large thermal transient (from 300 °C to room temperature), we adopted a model in which a thermal expansion coefficient mismatch between Lipon and our glass substrates accounts for the cracking and therefore the shorting. This model was also supported by the fact that Al films, which proved to act as a 'buffer layer' and stopped cracking of Lipon when glass/Al/Lipon structures were cooled from 300 °C to room temperature, were successfully used to produce short-free Al/Lipon/Al devices.
TF-TuP-33 Structural, Morphological, and Mechanical Properties of Plasma Deposited Hydrogenated Amorphous Carbon Thin Films: Noble Gas Dilution Effects
L. Valentini, J.M. Kenny, G. Carlotti, G. Socino (Universita di Perugia, Italy); G. Mariotto, P. Tosi (Universita di Trento, Italy); L. Lozzi, S. Santucci (Universita dell'Aquila, Italy)
Recent studies of Ar dilution effects on hydrogenated amorphous carbon (a-C:H) films have been motivated by two factors: the possibility to synthesise diamond films at high temperature1 and the identification of the growth species. One way to pursue this issue consists in the investigation of the effects related to the dilution of methane by rare gases. In this paper a thorough investigation of a-C:H films deposited by rf glow discharge from methane-argon mixtures, for different Ar fractions, onto silicon substrate is presented. The structural, mechanical and morphological properties of these films were investigated by complementary techniques, such as x-ray reflectivity (XRR), Raman spectroscopy, Brillouin light scattering (BLS), tribology and atomic force microscopy (AFM). Experimental results are examined to develop a coherent picture of the relationships between deposition parameters, microstructural features and macroscopic properties, as well as to show how the results are found to be consistent with theoretical calculations2 that relates properties with different chemical composition of the plasmas. The effects due to film deposition parameters such as Ar dilution, applied substrate bias and film properties are discussed in order to clarify which process parameters are important in film formation.


1
1T.G. McCauley, D.M. Gruen, and A.R. Krauss, Appl. Phys. Lett. 73, 1646 (1998).
2
2C. Riccardi, R. Barni, M. Fontanesi, and P. Tosi, to be published.

TF-TuP-34 Structural Characterization of Tungsten Trioxide Thin Films
L.J. LeGore, R.J. Lad, J.F. Vetelino, B.G. Frederick (University of Maine); E.A. Kenik (Oak Ridge National Laboratory)
Tungsten trioxide is a wide band-gap n-type semiconductor which has been used as a sensing material in conductance-type gas sensors. The microstructure and morphology is believed to have a large influence on the sensitivity, selectivity, and stability of the sensor. We have produced tungsten trioxide thin films 15 nm to 600 nm thick by reactive rf magnetron sputtering onto r-cut sapphire substrates. The microstructure of the films was characterized by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM), and scanning electron microscopy (SEM). By controlling the substrate deposition temperature and by post-deposition annealing, films from highly oriented epitaxial to random polycrystalline were produced. All films were found to be dense with low porosity. The epitaxial films were nearly atomically smooth while the polycrystalline films were significantly rougher. Lattice parameter measurements at room temperature indicate the possibility of more than one crystallographic phase.
TF-TuP-35 Study on the Oxidation Behavior of Poly Si 1-xGe x Films
S.-K. Kang, D.-H. Ko (Yonsei University, Korea); S.-H. Oh, C.-G. Park (Pohang University of Science and Technology, Korea); T.-H. Ahn, M.-S. Joo (Hyundai Electronics Industries Co. Ltd, Korea); K.-C. Lee, D.-Y. Yang (Ju-Sung Engineering Co. Ltd, Korea)
We investigated the oxidation behavior of poly Si 1-xGe x films (X=0.15, 0.42). The samples were oxidized using a conventional furnace in wet oxygen ambient at 700 °C. The composition and thickness of oxide were analyzed by rutherford backscattering spectrometry (RBS) before and after the oxidation using rump simulation. The distribution and chemical bonding of Si, Ge, O elements were analyzed by X-ray photoelectron spectroscopy(XPS). The microstructures of the films were analyzed by HR transmission electron microscopy(TEM). In the case of poly Si 0.85Ge 0.15 films, SiO 2 was formed, rejecting Ge, and subsequently Ge content increased at the SiO 2/ poly Si 1-xGe x interface. We observed a small amount of Ge and GeO 2 in oxide layer by HR-TEM, XPS. In the case of poly Si 0.58Ge 0.42 films, we found the formation of both SiO 2 and GeO 2 on the poly Si 1-xGe x films due to the high Ge content. The oxidation rate of poly Si 1-xGe x increased with Ge content in poly Si 1-xGe x films under the same oxidation condition.
TF-TuP-36 Mechanism of the Isothermic Amorphous-to-Crystalline Phase Transition in Ge:Sb:Te Ternary Alloys
J. González-Hernández, E.F. Prokhorov, Yu.V. Vorobiev, E. Morales-Sánchez, A. Mendoza-Galván (Centro de Investigacion y de Estudios Avanzados del IPN, Mexico); S.A. Kostylev (Energy Conversion Devices, Inc.); Y.I. Gorobets, V.N. Zakharchenko, R.V. Zakharchenko (Kiev Politechnic Institute, Ukraine)
The kinetics of the isothermic amorphous-to-crystalline (fcc) phase transition at different temperatures has been investigated in alloys with the composition close to Ge2Sb2Te5 which are the most frequently used for erasible optical memory devices. For monitoring the transformation, the electrical resistivity and capacitance measurements were employed as well as the ellipsometry, optical transmission and electron microscopy data. The procedure to determine the transformed volume fraction on the basis of the optical transmission and electrical conductivity data is analyzed. It is shown that the assumption widely accepted about the proportionality between the variation of transmittance or the conductivity and the transformed volume fraction, is seldom correct in the optical case, but never correct in the electrical one; the use of the corresponding procedure could give an error in determination of the crystalline volume fraction of about an order of magnitude. The correct procedure for this case is developed. The transformation kinetics observed agrees with the Johnson-Mehl-Avrami formalism, and gives an average Avrami exponent around 2 and the overall activation energy of about 4.5 eV; the latter value exceeds the previous estimations. The transformation process has the two well-defined stages corresponding to the bulk and surface nucleation of the crystalline phase, the sequence of the stages depends on temperature. It was also found that the ultimate degree of transformation in isothermic experiments is less than 1 and depends upon the transition temperature; the analytical description of this dependence is given. It is shown that this dependence influences the value of the phase transition activation energy determined from the experiment.
TF-TuP-37 Dry Cleaning of Oxide and Contaminaions on Cu Surface in Water Ambient
H. Ogawa, K. Taniguchi, Y. Horiike (The University of Tokyo, Japan)
A new cleaning method of the Cu bottom surface in via holes and gaps in the ULSI multi-level interconnection was studied employing in-situ XPS (ULVAC-PHI, model 1600). At first, reduction characteristics of the Cu native oxide (CuO and Cu2O) on the Cu surface were investigated in N2, H2, O2 and H2O ambient at 1 Torr. Analyses of Cu2p3/2 photoelectron and CuLMM Auger electron spectra showed that CuO was reduced to Cu2O in any ambient above 150 °C of sa mple temperature, because ΔGf° of Cu2O (-146 kJ/mol) is thermodynamically lower than that of CuO (-130 kJ/mol). Cu2O was also found to be reduced to Cu in H2, N2 and H2O ambient above 300 °C. H2O dose not act as an oxidant for Cu, because ΔGf° of H2O (-229 kJ/mol) is lower than that of the Cu oxide. Next, oxide formation and hydrocarbon (CHx) and fluorocarbon (CFx) contaminations of the Cu surface were prepa red by exposure to ICP (inductively coupled plasma) of C4F8/Ar (unity partial pressure ratio, 20 mTorr of total pressure, 1 kW of 13.56 MHz, Vdc=400V and 2 min) and subsequent O2 (100 mTorr, 10 min) plasma. Then the surface was exp osed by the H2O vapor ambient at 1 Torr. As a result, almost CHx and CFx contaminants were removed at 300 °C, and Cu oxides were completely reduced to Cu at 400 °C, while CHx contamination was remained in N2 and H2 ambient at 400 °C. The reason of high removal efficiency of CHx and CFx in the H2O ambient is considered that H2O effectively acts as an oxidant and a reducing agent to these contaminations.
TF-TuP-38 Characterization of the Reactive Species in an Atmospheric-Pressure Nitrogen Plasma
G. Ding, S.E. Babayan, G. Nowling, R.F. Hicks (University of California, Los Angeles)
A nitrogen and helium plasma, operating at atmospheric pressure and powered by radio-frequency power at 13.56 MHz, has been investigated to understand the reaction chemistry for plasma-enhanced chemical vapor deposition of nitride materials. Spatial and temporal profiles of excited molecular nitrogen (A, B, and C states) were obtained by optical emission and absorption spectroscopy. In addition, the relative populations of the vibrational states of the ground-state nitrogen molecules were examined. The nitrogen atom concentration was attained by nitric oxide titration and by determining the rate constant for the recombination of nitrogen atoms to form the B state of excited molecular nitrogen. The concentration profiles of all these species were compared with a numerical model of the reacting flow. It has been found that the metastable molecular nitrogen and nitrogen atom concentrations are in the range of 1012 to 1015 cm-3. Silicon nitride films were deposited on silicon substrates by combining silane with the effluent from the atmospheric pressure plasma source. Deposition rates of 100 nm/min were observed at substrate temperatures of 400±25 °C. After growth, the optical, electrical, and chemical properties of the films indicated that high quality silicon nitride was produced. The relationship between the film properties and the plasma chemistry will be discussed at the meeting.
Time Period TuP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2000 Schedule