AVS2000 Session PS-WeP: Poster Session

Wednesday, October 4, 2000 11:00 AM in Room Exhibit Hall C & D

Wednesday Morning

Time Period WeP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule

PS-WeP-1 Plasma Damage in Etching of SrBi2Ta2O9 Thin Films Using Inductively Coupled Cl2/Ar and Cl2/N2 Plasma
D.P. Kim (Chungang University, Korea); W.J. Lee, B.G. Yu (ETRI, Korea); T.-H. Kim (YIT, Korea); C.-I. Kim (Chungang University, Korea)
In recent years, non-volatile ferroelectric random access memory (FRAM) has been attracted great attentoin for the applications with fast switching speed, low operating voltage, and high radiation hardness. FRAM comes to be used where high performance and power efficiency are required, in such as communication products, portable applications, logic IC, and smart cards. For highly density FRAM, one transistor and one capacitor structure (1-T/1-C) was proposed. Pb(Zr1-xTix)O3 (PZT), SrBi2Ta2O9 (SBT) and Bi3.25La0.75Ti3O12 (BLT) have been challenged as dielectric materials of capacitor. Among them, SBT thin films have been attracted because SBT thin films show high dielectric constant, long data retention and fatigue endurance up to 1011 switching cycles. A few papers have been reported about etching mechanism and damages during SBT thin film etching. Therefore, SBT thin films were etched in Cl2/Ar and Cl2/N2 plasmas by performing measurements of etch rates at different etching parameters such as gas mixing ratio, rf power, dc bias voltage, and chamber pressure. The chemical reactions on the etched surface were investigated with x-ray photoelectron spectroscopy and secondary ion mass spectrometry. Higher etch rate was obtained in Cl2/Ar plasma rather than in Cl2/N2. Atomic force microscopy (AFM) was used to investigate the surface morphology of etched SBT thin films. High-resolution transmission electron microscopies (TEM) and auger electron spectroscopy (AES) were evaluated in order to investigate physical damages. Electrical properties were characterized by measuring leakage current. Physical damages were more severe at high bias voltage and in Cl2/Ar plasma rather than in Cl2/ N2. From the results, damages in etching of SBT thin films were due to ion bombardment. Low damages can be obtained at small ion mass and low bias voltage.
PS-WeP-2 Design and Characterization of a Magnetic Pole Enhanced Inductively Coupled Plasma Source
T. Meziani, P.P. Colpo, F. Rossi (Joint Research Center, Italy)
In the last decade, the ICs size shrinking has led to the development of a new generation of plasma source, enabling the generation of high plasma density with low ion energy: the Inductively Coupled Plasma sources (ICPs). ICP sources are widely used in semiconductor industry for their simple design, process flexibility and their high throughput. At present, the new challenge to be addressed is the scaling up of the plasma sources to enable large area specimen processing. Indeed, the semiconductor industry is now experiencing the transition from 200 to 300 mm wafer technology and plans the transition to 450 mm for 2010. On the other hand, the interest of the FPDs industry for larger area treatments is obvious for the flat video screen fabrication. The paper presents the design of a novel plasma source, enabling large area plasma treatment: the magnetic pole enhanced ICP (MaPE-ICP). The plasma source consists of a special arrangement comprising a special inductor embedded in a magnetic pole to create a concentrated and homogeneous magnetic field over large areas. We demonstrated that the plasma uniformity at laboratory scale (30cm) is better than the uniformity obtained with the classical ICP source on the same area. Furthermore, the obtained plasma characteristics (i.e. high densities of reactive species, low and controllable ion energy, wide pressure range) make the new source extremely promising for a whole range of processes such as large scale deposition, etching and plasma treatments. Finally, preliminary results of plasma density measurements over a large area MaPE-ICP reactor (1m x 1m) are presented.
PS-WeP-3 Analysis of Chlorine-Containing Plasmas with Langmuir Probes, Self-Excited Electron Resonance Spectroscopy, and Optical Emission Spectroscopy
G. Franz (INFINEON Technologies, Germany); P. Messerer (Technical University, Germany)
Capacitively coupled discharges of strongly reactive atmospheres, containing mixtures of boron trichloride and chlorine, are investigated employing spatially resolved Langmuir probe measurements, optical emission spectroscopy, and self-excited electron resonance spectroscopy. The analysis covers the whole area spanned by these gases from pure boron trichloride to pure chlorine, discharge pressure over more than one magnitude, and RF power half an order of magnitude. Their impact is addressed on important plasma parameters like plasma density, plasma potential, electron temperature, electron collision rate with neutrals, and actual RF power coupled into the discharge. Since the methods are partially complementary, a mutual control of the obtained data is made possible. Whereas the concordance in electron plasma density is surprisingly good, the discrepancies in the determination of the electron temperature lead to the conclusion that the electron energy distribution must be described with two temperatures. Compared to discharges of inert gases, which are used as calibration standard, electron plasma density and electron temperature are both definitely lower, which is mainly caused by electron attachment of the electronegative molecules. Absolutely no chlorine could be found in the plasma which is referred rather to the effective cooling of the Cl-containing species than to the nonexistence of these species.
PS-WeP-4 Infrared Characterization of a Cascade Arc Plasma
R. Raghavan, P. Morrison (Case Western Reserve University)
In-situ diagnostics of chemical vapor deposition (CVD) systems are limited in the mid-infrared (500-5000 cm-1) region due to the lack of a high intensity light source. Noble gas cascade arc plasmas are potential high-intensity infrared light sources. We have constructed a argon cascade arc light source and characterized its infrared (2000-10000 cm-1) emission properties using a Fourier transform infrared (FTIR) spectrometer. The properties of the plasma are adjusted by varying the pressure (1-4 atm) and the current (15-30 A) through the arc. To determine temperature from line emission of a plasma, the population distribution of excited states must be known. We show that our plasmas are in "partial local thermodynamic equilibrium" (PLTE) and use the Boltzmann equation to estimate excited state densities by assuming that only a fraction of the ground state Ar is in equilibrium with the excited states. This fraction as well as the plasma temperature are then regressed from a two-parameter least squares analysis of the measured infrared emission spectrum. Once we know the plasma temperature, we then estimate the electron density from continuum emission of the plasma. Alternately, we also estimate an electron density from the Saha equation. If the assumption of PLTE in our plasmas is valid, the electron densities resulting from these two techniques should be similar. Based on this observation, we find that the plasmas at the highest current (30 A) and pressures (3, 4 atm) satisfy the assumption of PLTE, while the plasmas at other conditions do not. This result enables us to calculate new transition probabilities for the infrared transitions in an Ar plasma. Plasma temperatures range between 9500-11500 K while electron densities are between 2-5x1022 m-3 for our plasmas. The total radiative power from the cascade arc is five times that of a conventional mid-IR light source like a globar and hence it is a feasible infrared light source.
PS-WeP-5 Spatially Resolved Atomic Oxygen Concentration Measurements Using a Quartz Crystal Microbalance in a 300 mm Plasma Ash Chamber
A.K. Srivastava, P. Sakthivel (Eaton SEO)
In a previous study, atomic oxygen (AO) concentrations have been measured in 200mm strip tools using a quartz crystal microbalance (QCM). This technique utilizes the resonant frequency of the crystals to monitor the mass gain of a silver film as it gets oxidized in an AO rich environment. Current work details similar results for high power plasma sources in a downstream 300mm dry strip tool. QCM data indicate that AO concentration is about 1013 per cubic centimeter under typical photoresist removal in 300mm systems. Data are presented on AO concentration sensitivity to varying process parameters like input gas mixture and chamber pressure. Additionally, correlation of AO concentration to photoresist strip rates on blanket-coated 300mm silicon wafers is made. Data indicate an increase in AO concentration as pressure drops, and a corresponding increase in resist removal rate. The effects on AO concentrations of using different showerhead configurations for uniformity in the chamber are also presented. By moving the QCM head within the chamber in the wafer plane, the spatial distribution of AO in the process chamber is mapped out. Finally, the use of an orifice on the QCM is shown to prevent overloading of the frequency counter, and a compensating transfer function may then be used to infer AO concentrations.
PS-WeP-6 Plasma-Surface Diagnostics in LAPPS1
S.G. Walton (NRC Postdoctoral Research Associate); D. Leonhardt, D.D. Blackwell, D.P. Murphy, R.F. Fernsler, R.A. Meger (Naval Research Laboratory)
In situ mass and energy resolved measurements of ion and neutral fluxes to a conducting electrode surface in NRL's Large Area Plasma Processing System (LAPPS) are presented. LAPPS uses a magnetically confined sheet of high-energy electrons to ionize a background gas, producing a high-density (109-1012 cm-3) planar plasma that is scalable to large areas (meters2). The electron beam is produced by a hollow cathode, embedded in a 100-300 Gauss magnetic field and injected into 20-200 mTorr of background gas. Hence, plasma production is decoupled from the reactor geometry, allowing independent positioning and biasing of electrode surfaces. The relative fluxes and energy distributions are reported for a grounded and rf-biased electrode and as a function of plasma-electrode separation. Ion and neutral species are sampled through a small orifice (sub-Debye length diameter) located in the center of the electrode and analyzed via an energy selector in series with a mass spectrometer. Relative fluxes and energy distributions are presented for discharges in Ar, O2, Ne, and their mixtures over a range of conditions (pressure, mixture ratios). The results are discussed in terms of materials processing. Additional details concerning LAPPS and its processing applications are presented at this conference2.


1 Work supported by the Office of Naval Research.
2 See presentations by co-authors at this conference.

PS-WeP-7 Measurements of Plasma-wave Interactions in a Commercial-scale Helicon-driven Plasma Processing Reactor
J.E. Norman, D.N. Ruzic, N. Li, M.E. Boaz, J.P. Allain (University of Illinois, Urbana-Champaign)
Measurements of plasma-wave interactions in a commercial-scale helicon-driven plasma processing reactor A Plasma Quest 256 research reactor powered by a PMT Mori 200 Helical plasma source has been used to study plasma wave interactions. Magnetically enhanced inductively coupled plasmas can operate in very different modes and operating regimes simply through external control. The ability to quickly change from one operating mode and plasma condition to another may allow the creation of multi-functioning processing environments: one machine that can replace many of the single-step chambers now required during semiconductor fabrication. To demonstrate this principle, Langmuir probe and spectroscopic measurements show that radically different plasmas can be produced through electrical and magnetic variation. Modeling has also been done which elucidates the physical mechanisms involved in the electromagnetic energy transfer to the plasma.
PS-WeP-8 Spatial Profiles of Neutral, Ion and Etch Uniformity in a Large-Area High Density Plasma Reactor
S. Yun, G.R. Tynan (University of California, San Diego)
The effect of ion and neutral uniformity on etch rate uniformity has been studied. In our experiments, the correlation between plasma conditions and etch uniformity has been measured in a large area high density plasma reactor. Spatial profiles of ion density, plasma potential, and radical density are measured across the face of a 20 cm wafer. Plasma profiles are measured by a Langmuir probe and radical density profiles are measured by an optical emission probe. Optical emission spectroscopy and spatial actinometry are used to calculate the spatial radical density on a wafer. As an initial experiment, we have performed the photo resist etching using oxygen plasmas. The results show that the spatial variation of etch rate is depend only on neutral profile when there is no applied substrate bias. The spatial variation of etch rate is depend on both neutral profile and ion flux profile when the bias voltage between -6 V and -100 V is applied on a substrate. The spatial variation of etch rate is depend on only ion flux profile when the bias voltage is below -100 V. These results are discussed including other effects such as spatial variation of wafer temperature. The results may suggest that ion uniformity may determine etch uniformity for processes which require significant energy sources from an energetic ion population (such as SiO2 etching). Neutral reactant uniformity may determine etch uniformity for processes which do not require significant energy from ion energy (such as photoresist etching).
PS-WeP-9 Probe Diagnostic Development for Electron-Beam Produced Plasmas
D.D. Blackwell, S.G. Walton, D. Leonhardt, D.P. Murphy, R.F. Fernsler, W.E. Amatucci, R.A. Meger (Naval Research Laboratory)
The composition and distribution of particle species is the most basic in formation of a process plasma. Knowledge of the electron and ion energy distribution functions is prerequisite to obtaining accurate models of plasma surface interactions and radical production. NRL has developed a Large Area Plasma Processing System based on electron beam ionization of gases.1 The LAPPS plasma source, having low electron temperature and low pressure, make it ideal for probe diagnostics. However, such measurements can become difficult due to the presence of large magnetic fields, RF biases on the processing surface, high energy electrons, and multiple ion species. We have developed probe diagnostics with an emphasis on overcoming these difficulties. RF compensation methods for Langmuir probes and resolution of distribution functions on RF timescales with specially designed energy analyzers allow us to measure instantaneous and time averaged plasma proper- ties, while increased digital resolution allows for more realistic representation of non-Maxwellian distribution functions. Magnetic based current diagnostics give us instantaneous readings of to process surfaces and relation to RF biases applied. For calibration and fine tuning of probes for the LAPPS machine, a test chamber (75 cm wide x 25 cm high) with a spiral RF antenna coupled to the plasma through a glass window was used. Langmuir probes, temporally resolvable ion and electron energy analyzers, and capacitive probes were used to investigate the bulk plasma characteristics. Comparisons between the effectiveness of different probe diagnostics and correlations to other in situ diagnostics such as mass spectrometry,microwave transmission, and optical spectroscopy methods will be presented.


1 See presentations by co-authors at this conference.

PS-WeP-10 Langmuir Probe Measurements in an Inductively Coupled Ar/CF4 Plasmas
M.V.V.S. Rao, M. Meyyappan, S.P. Sharma (NASA-Ames Research Center)
Technological advancement in the microelectronics industry requires an understanding of the physical and chemical processes occurring in plasmas of fluorocarbon gases, such as carbon tetrafluoride (CF4) which is commonly used as an etchant, and their mixtures to optimize various operating parameters. In this paper we report data on electron number density (ne) temperature (Te) ion energy distribution function (EEDF), mean electron energy, ion number density (ni), and plasma potential (Vp) measured by using Langmuir probe in an inductively coupled 13.56 MHz radio frequency plasmas generated in 50%Ar:50%CF4 mixture in the GEC cell. The probe data were recorded at various radial positions providing radial profiles of these plasma parameters at 10-50 mTorr pressures and 200 W and 300 W of RF power. Present measurements indicate that the electron and ion number densities increase with increase in pressure and power. Whereas the plasma potential and electron temperature decrease with increase in pressure, and they weakly depend on RF power. The radial profiles exhibit that the electron and ion number densities and the plasma potential peak at the center of the plasma with an exponential fall away from it, while the electron temperature has a minimum at the center and it increases steadily towards the electrode edge. The EEDFs have a characteristic drop near the low energy end at all pressures and powers, and their shapes represent non-Maxwellian plasma and exhibit more like Druyvesteyn energy distribution.
PS-WeP-11 Target Surface Modifications during Reactive Sputtering of Aluminium in an Argon-oxygen Plasma
D.J.M.G. Depla, R. De Gryse (University Ghent, Belgium)
The target voltage of an aluminium target changes during magnetron sputtering when oxygen is added to the argon plasma. This target voltage alteration has been ascribed to a target surface modification, which alters the ion-induced secondary electron emission (ISEE) coefficient. As most models assume that the target surface modification is induced by chemisorption of oxygen on the aluminium target, we have measured the influence of chemisorption on the target voltage. At low oxygen exposure an absolute target voltage increase was noticed. Extending the oxidation period resulted in an absolute target voltage decrease. Comparing these results with the measurements performed regarding reactive sputtering, we came to the conclusion that chemisorption cannot explain the target surface modification during reactive sputtering. Indeed, stability experiments of the target surface modification induced by reactive sputtering clearly indicated that the target voltage gradually changes towards the value measured for a target fully oxidized by chemisorption. This shows that the target surface modification during reactive sputtering is not the formation of a stable surface compound by chemical reaction between oxygen molecules and the aluminium surface as noticed during chemisorption. The chemical reaction between implanted reactive gas atoms and the target atoms forms the basic idea of the presented approach to describe the target surface changes.
PS-WeP-12 An Investigation of Plasma-polymer Interactions by Mass Spectrometry
J. Hong, M.R. Wertheimer, L. Martinu (Ecole Polytechnique Montreal, Canada)
Modification of polymer surfaces by low pressure plasmas has gained industrial importance for controlling adhesion of coatings, wettability, printability and other surface-related properties. However, relatively little is known about the complex interactions of plasma with polymer surfaces. The present study is designed to investigate these interactions by mass spectrometry : in a special plasma reactor, which can be excited by microwave (MW, 2.45GHz), radiofrequency (RF, 13.56MHz), or dual (MW/RF) frequency power, polymer specimens are placed directly on the electrode, which also contains a small inlet-orifice (100 micron diameter) into the Hiden EQP 1000 plasma monitor/quadrupole mass spectrometer/ion energy analyzer. We observe molecular fragments from various polymers (polyethylene, polypropylene, polyethylene terephthalate, etc), which are liberated by chain scissions provoked by various energetic plasma constituents (ions, radicals, ultraviolet photons, etc). The different plasma modes mentioned above, and the choice of plasma gas (inert or molecular gases) allow us to select the dominant plasma species, their energies and fluxes during these surface treatments. Finally, we can study the desorption kinetics of species diffusing from beneath the polymer surface, which are created by UV irradiation.
PS-WeP-13 Comparing Polyatomic Ions and Plasmas for Organosiloxane Film Growth from HMDSO
E.R. Fuoco, L. Hanley (University of Illinois at Chicago); A.J. Beck, P.N. Brookes, R.D. Short (The University of Sheffield, UK)
Mass spectrometric sampling has found that large polyatomic ions are formed during plasma polymerization of hexamethyldisiloxane (HMDSO), implying that these ions could contribute to polymerization at the surface. This study uses mass spectrometric sampling to detect these ions in a low power HMDSO plasma. Adjacent work employs mass-selected beams of 15 - 100 eV Si2O(CH3)5+ ions to deposit organosiloxane films on Al surfaces. Monochromatic x-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry are used to compare films formed from plasmas and those deposited from Si2O(CH3)5+ ion beams. These results support the argument that polyatomic ions and energetic neutrals play an important role in plasma polymerization.
PS-WeP-14 Reactive Sputtering of Al2O3 in a Cylindrical Hollow Cathode Magnetron
A. Pradhan, D. Guerin, S.I. Shah (University of Delaware)
Hollow Cathode Sputtering offers the advantage of 360-degree sputtering and allows the possibility to uniformly coat shaped articles, fibers and wires. We have studied the reactive deposition of Al2O3 using a hollow cathode sputtering process. A metal cylindrical target was used. Reactive sputtering process in a cylindrical magnetron is complicated due to redeposition of the sputtered flux. The control of the process is easier as it is relatively facile to pump to eliminate the unused reactive gas from a cylindrical magnetron than it is in the planar magnetron. We have characterized the reactive sputtering behavior of the Al metal target in Ar + O2 plasma. A hysterisis loop, typical of reactive sputtering, was obtained. The deposition rate, even in the poison mode, was high. This was perhaps due to the high pumping speed. The deposited film was also characterized by x-ray diffraction and x-ray photoelectron spectroscopy. The x-ray analyses revealed essentially pure metal films for low oxygen partial pressures. The pure metal to pure oxide transition, as the oxygen partial pressure in the sputtering gas was raised, was very slow. The transition region contained mixed valance aluminum. We will also present results on the optical and electrical characterization of the films.
PS-WeP-15 High Rate and Low Damage Resist Ashing Employing Surfacewave Oxygen Plasma with High Permittivity Material Window
H. Shindo, K. Kusaba (Tokai University, Japan); K. Shinagawa, M. Furukawa, K. Kawamura (Canon Sales Corporation)
Microwave plasma is one of candidates for large diameter plasma sources of the next generation. One issue in large diameter microwave plasma sources is on dielectric window material for microwave introduction. In this work, the microwave plasma production in a large diameter was studied employing a high permittivity window material. Especially, the plasma properties in O2 were examined in a viewpoint of the permittivity of the window material. If the microwave power is transferred into plasma in a surface wave mode, the plasma behaves depending on the permittivity of the window material. The plasma was produced in an aluminum chamber of 240 mm in diameter by introducing 2.45GHz microwave through a dielectric window of disc plate of 240 mm in diameter. Two kinds of dielectric materials, the quartz and alumina, were employed in this experiment and their permittivities were, respectively, 3.86 (14.9 GHz) and 9.7 (10 GHz), where the frequency used for the permittivity measurement was given in the parenthesis. The plasma parameters were measured by Pt plane probe of 1 or 0.5 mm in diameter in O2 plasma. The ashing rate of the photo-resist (PFI-58) was also measured at the substrate temperature of 200°. The results showed that the higher permittivity alumina window yielded two times higher electron density than the other in the regime above the cutoff of the microwave. Since the modes observed by the magnetic probe was consistent with the dispersion, it was concluded that the plasma production is due to the surface wave. The resist ashing experiments, which was performed in 8 inch wafer, showed that the rate was 2 times higher with the alumina than the other. A wafer damage was analyzed by both DLTS and carrier life time measurement, and it was concluded that a choice of the high permittivity window material provided one novel method for a large diameter wafer ashing processes with a high rate and low damage.
PS-WeP-16 Etch Issues for Trench First and Via First Dual Damascene
D. Keil, E. Wagganer, B.H. Helmer (Lam Research Corporation)
Dual Damascene etch technology is emerging as a key enabler for advanced integration schemes. Chief among these is copper integration. However, Aluminum integration is also of great interest. Of the candidate methods for doing dual damascene, Trench First and Via First are the primary approaches typically considered. Several etch issues typically arise when implementing either of these approaches. The via first approach can lead to problems with either via veils or excessive faceting when the trench is etched. The trench first approach requires very high selectivity to the underlayer when it is desired to place vias both in and outside the trenches. In both cases, it is frequently desired to have no stop layer when etching the trench. This places stiff demands on etch uniformity, etch front control and sidewall profile angle control. Furthermore, after these structures are etched, one typically must open the underlayer layer (typically nitride) at via bottom without excessive perturbation to the structures already formed. The complexity of these issues makes it especially important to understand the etch mechanisms responsible for controlling these issues. A review of these issues is given and the current understanding of the relevant mechanisms are discussed.
PS-WeP-17 Thermal Effects in Atomic-Order Nitridation of Si by a Nitrogen Plasma
T. Seino, D. Muto, T. Matsuura, J. Murota (Tohoku University, Japan)
In atomic-order nitridation of Si(100) by a nitrogen plasma, thermal effects were investigated using an ultraclean ECR plasma apparatus. The Si substrate was cooled by being put on the suscepter which was cooled by liquid nitrogen with flowing He as a contact gas. Nitridation was performed at the N2 pressure of 1.3-5.1Pa. In the initial stage of nitridation, the N atom concentration on the Si surface was normalized by the relative radical density and the nitridation with radicals proceeded according to Langmuir-type kinetics neglecting desorption. In this stage, the N atom concentration was almost the same in both the cases with and without cooling the Si surface. On the other hand, in the second stage where the nitridation of the deeper Si atoms below the surface was induced, the cooling caused suppression and saturation at nitridation. Therefore, without cooling Si surface, it is considered that the nitridation of the deeper atoms was enhanced by heating due to the ion incidence. The N atom concentration with cooling became higher at a lower pressure where the ion energy was high. Furthermore, in the cases of the Ar plasma exposure on Si in the same pressure as nitridation, it was found that the Ar atoms penetrate below Si surface and the Ar atom concentration becomes higher at a lower pressure. Therefore, the saturated N atom concentration may be determined by the ion energy. The exact Si surface temperature measurements in the nitrogen plasma are under investigation.
PS-WeP-18 Ion Assisted Deposition of Silicon Nitride Films using Electron Cyclotron Resonance Plasma
K. Denamma Vargheese, G. Mohan Rao (Indian Institute of Science, India)
Ion assisted deposition (IAD) is one of the most widely used techniques for the deposition of thin films. Electron cyclotron resonance (ECR) ion sources are ideal for ion assisted deposition due to high ionization efficiency even at low pressures. Silicon nitride films have been deposited by RF reactive sputtering with ion assistance from a ECR plasma. The ECR power was varied from 0 to 250 watts. The effect of ECR plasma on the growth of silicon nitride films has been shown to be systematic and is characterized by using FTIR spectroscopy and Atomic Force Microscopy. The surface roughness for the films deposited without ECR plasma was about 2 nm and reduced to about 0.7 nm for those deposited with 250 watts of ECR power. Optical band gap studies also confirmed the effect of ion bombardment. The optical band gap changed from 2.2eV to 4.9eV as the ECR power is increased, indicating higher reactivity in the presence of ECR plasma. The optical transmittance of the films deposited with ECR assistance was good in the visible region reaching a maximum of 0.925 which is close to that of fused silica substrate used. Good quality silicon nitride films with a band gap of 4.9 eV, refractive index of 1.92 and extinction coefficient of 4x10-4 have been obtained with a ECR power of 150 watts (corresponding ion density of 1.5x1010 cm-3 on the substrate) and the surface roughness was less than 0.7 nm. Composition analysis carried out using Auger Electron Spectroscopy, showed a Si/N ratio of 0.76 for the films deposited under optimum deposition conditions.
PS-WeP-19 Structural Studies of Hyper-thin SiO2 coatings on Polymers
G. Dennler (Ecole Polytechnique de Montreal, Canada); A. Houdayer (University of Montreal, Canada); Y. Ségui (Université Paul Sabatier, France); M.R. Wertheimer (Ecole Polytechnique of Montreal, Canada)
Transparent inorganic oxide coatings on polymers are playing an increasingly important role in pharmaceutical, food and beverage packaging. Such coatings are being prepared by physical-or by chemical vacuum deposition methods. They possess barrier properties when they are thicker than a certain critical thickness, dc ; for d < dc, the "Oxygen Transmission Rate" (OTR, in standard cm3/m2/day/bar) is roughly the same as that of the uncoated polymer. This fact is commonly attributed in the literature to a "nucleation" phase of the coating, during which it is thought to present an island-like structure. In order to verify this hypothesis, we have deposited hyper-thin SiO2 coatings on various flexible polymeric substrates (PET, PI, PP) using plasma-enhanced chemical vapor deposition (PECVD). The film thicknesses investigated here, well below dc (typically in the range 1-15 nm), were determined by Rutherford Backscattering Spectroscopy (RBS), which allows us to determine the surface concentration of silicon. This was found to be a linear function of the deposition time, t, for t ≥ 1 second. These results are compared with those from other thickness mesurements, namely spectroscopic ellipsometry, X-ray fluorescence, and transmission electron microscopy. Then, combining reactive ion etching (RIE) in oxygen plasma, scanning electron- and optical microscopy, we have been able to characterize the structure of the coatings : even for d ≤ 2 nm, no island structure has been observed ; instead, we found continuous coatings which contain large concentrations, n, of tiny pinhole defects (with typical radii in the range of tens of nanometers), where n increases with decreasing d. These assertions are confirmed by grazing angle (80 degrees) XPS, which shows that even for d = 2 nm, the polymer substrate cannot be detected.
PS-WeP-20 Numerical Study of HBr/O2/CF4 and HBr/O2/CHF3 Etching Chemistry in an Inductively Coupled Plasma Reactor
X. Xu, P. Schoenborn (LSI Logic Corporation)
Inductively coupled plasmas (ICPs) have been developed for various applications in the modern integrated circuit manufacturing industry. One important ICP applicator for device fabrication is plasma etching of Si and other microelectronics materials because ICP reactors can produce high plasma density (1011 - 1012 cm-3) at low pressure (a few to 10s mTorr). Increasingly stringent control of etching rates, profile shapes, and uniformity has led to using more complex chemistry mixtures in the selective etching of submicron features. There has been increasing interest in mixtures of HBr and fluorocarbons for etching of polysilicon and Si3N4 due to improved selectivity and superior control of the etched side wall profile. An understanding of the plasma chemistry is necessary and useful to examine plasma behavior from the source region to the substrate such as the fluxes of radicals and ions. In this study, we investigate the scaling of plasma chemistry mechanism of HBr/O2/CF4 and HBr/O2/CHF3 in an inductively coupled plasma reactor through a plasma simulation tool, the Hybrid Plasma Equipment Model (HPEM) developed at the University of Illinois. Addition of HBr into fluorocarbon plasmas cause significant reduction in F density. Results show that increasing gas pressure results in an enhanced Br flux and a diminished F flux in to the wafer. We will discuss the consequences of etching results of Si3N4.
PS-WeP-21 Low-temperature Deposition of Thin Oxides for Si-LSIs Using Electron Cyclotron Resonance Sputtering
T. Ono, K. Saito, Y. Taketa (NTT Telecommunications Energy Laboratories, Japan); S. Matsuo (NTT AFTY Corporation, Japan)
Electron Cyclotron Resonance (ECR) Sputtering has been investigated for application to Si-LSI processes of 8-inch wafers. The system consisted of the ECR sputtering process unit (with the ECR source coupled with divided microwaves),1 that was connected to an 8-inch wafer transfer system. For the deposition of aluminum oxides, the cylindrical sputtering target (Al: 99.99 %) was set around the plasma stream generated by ECR (gas: Ar/O2). The sputtering was accomplished by biasing at 13.56 MHz rf utilizing ions in the plasma stream. The deposition was carried out without external heating; the wafer temperature during deposition was about 100 °C due to plasma heating. The film thickness ranged from 2 to 40nm. The uniformities of the deposited films were ±2.5 % (thickness), and ±0.3 % (optical refractive index of 1.61 at 632 nm) over a 200-mm-diameter. The resistivity and the brake-down strength of the 20-nm-thick films were about 5x1014 Ωcm, and 8 MV/cm, respectively. The dielectric constant was about 8. The fixed charge of the films depended on the oxygen partial pressure during deposition and can be controlled. ECR sputtering can be used for gate processes and capacitor processes at low temperature.


1
1 T. Ono et al., J. Vac. Sci. Technol. A12, 1281 (1994).

PS-WeP-22 Silicon Etch Chamber and Process Development Using Diode Laser Measurements of HBr Concentration and Temperature
W. Collison, T. Ni (Lam Research Corp.); S. Chou, J. Jeffries (Stanford University)
Diode laser wavelength modulation spectroscopy technique developed by Stanford University1 has been used to measure HBr concentration and temperature in Lam 300mm TCP silicon etch chamber during blank poly silicon wafer etching. Various process conditions are measured. HBr concentrations as a function of pressure, gas flow, TCP power, bias power are recorded and correlated with etch rate data. HBr dissociation fractions are also measured before and after SF6 waferless chamber clean with various focus ring materials including quartz, alumina, silicon nitride, silicon carbide. The results show that HBr dissociation fraction decreased 17% with the quartz focus ring after the chamber was cleaned by SF6 plasma and about five wafers need to be processed before HBr signal gets to a steady level. Silicon carbide focus ring had essentially no influence on HBr concentration before and after chamber clean. Etch rate measurements show consistent results with HBr measurements. This suggests that using silicon carbide as focus ring material has certain advantages in poly silicon etch. It also shows that diode lasers can be used for real time control of plasma etch processes.


1 Shang-I Chou etc. "HBr concentration and temperature measurements in a plasma etch reactor using diode laser absorption spectroscopy", submitted to JVSTB.

PS-WeP-23 Plasma Etching of Lead Germanate (PGO) Ferroelectric Thin Film
H. Ying, J.S. Maa, T.K. Li, F. Zhang, S.T. Hsu (Sharp Laboratories of America, Inc.)
The lead germanate (PGO) thin film has been proposed for FRAM devices, especially for one transistor memory cell (1T) application. To realize such application, it is important to etch/pattern such thin film. In this work, plasma etching of PGO thin films was investigated by using chlorine or fluorine gas chemistries in an Electron Cyclotron Resonance (ECR) plasma reactor. Etch rates were studied as a function of etching conditions. The PGO etch rate of 600~650 Å/min was achieved by using a gas mixture of Cl2 and Ar. In a pure Ar plasma, the PGO etch rate was significantly lower than that in a Cl2/Ar plasma. The etching of silicon dioxide showed a similar trend under the same plasma conditions, however, the silicon oxide etch rate was much lower (~400 Å/min) than the PGO etch rate. In a CF4/Ar plasma, the PGO etching behaves quite differently from the etching of silicon dioxide. While the silicon oxide etch rate increases with the CF4 concentration, the PGO etch rate tapered off after the CF4 concentration reached ~15%. In addition, similar to plasma etching of many other materials, the etch rate of PGO material increases as the RF bias power and/or the microwave power increases. The PGO etch rate decreases as the process pressure increases. Plasma etching induced damage to PGO thin film will also be discussed.
PS-WeP-24 Morphological Study of a New Copper Dry Etching Process
Y. Kuo, S. Lee (Texas A&M University)
Copper is the most desirable interconnect material for the high density VLSIC. Conventionally, it has been very difficult to etch copper using a parallel-plate plasma reactor under a mild condition, e.g., room temperature, low power density, etc., because reaction products, i.e., copper compounds, have low vapor pressures. Recently, the authors have published a new copper etching method based on a novel copper-plasma reaction.1 Instead of removing the reaction product during the plasma process, the copper film was consumed anisotropically and the copper compound was accumulated on the substrate surface. A solution was used to selectively dissolve the copper compound after the plasma process. The result shows that a high copper etch rate, e.g., > 3000 angstrom/min, could be achieved. The copper profile was adjustable with the plasma condition. In this paper, we are going to discuss the reaction mechanism of this new method under a large number of experimental conditions, such as feed gas, temperature, pressure, power, etc. The morphology change of the copper layer will be shown with SEM pictures. The physical and chemical analytical results of copper compounds will also be revealed. These results are critical to the understanding of this new copper dry etching process.


1Y. Kuo and S. Lee, Jpn. J. Appl. Phys. 39, L188-L190, 2000.

PS-WeP-25 Titanium-Nitride Etch Techniques Using High Density Plasmas for Advanced BiCMOS/CMOS Applications
D. Galley (ATMEL Corp., Fab 5); K. Sannes (Applied Materials Corp., COS); A. Kelkar, G. Frazier, M.J. Evans, M. Whiteman (ATMEL Corp., Fab 5)
The multitude of uses for Titanium-Nitride(TiN) have become evident as the integration of system-on-a-chip applications has forced the film to be used in a variety of ways. The conventional uses of TiN have been as a top anti-reflection coating and a W-plug glue layer. Given it's relative thickness(e.g. 250 - 600 A on 5-8 kA of Aluminum), the plasma etch characterization of the film has been limited to breakthrough etch techniques which focus on the impact of the process on the underlying film(i.e. the Aluminum). In this study, the new applications of TiN for (1) Spacer/Encapsulation Technology for Line-On-Line Vias, (2) TiN/Nitride/TiN/Aluminum Capacitors, and (3) Metal Etch Stop Layers show the dramatic challenges for plasma etch techniques as the TiN films/sandwiches can become >3500 A in thickness. In this study using an Applied Materials DPS reactor, the impact of plasma chemistry choice(e.g. Cl2/Ar, SF6/Ar, CF4/Ar, C2F6/Ar), cathode temperature choice(i.e. the impact of cathode temperature on grain boundary etching/surface roughness), source RF power configuration(e.g. ramp-on/no ramp-on), and the choice of bias RF power are shown to be critical to realizing the device specific requirements of the process. The impact of the ratio of Titanium to Nitrogen in the TiN film impacts the final process result, as well. The process deliverables are: the ability to etch TiN and stop on Al, the ability to etch TiN and stop on/in thin layers of PECVD Nitride/Oxide, and the ability to control profile of the thick TiN. The etch responses(for a given application) result in a variety of subsequent electrical parametric effects(e.g. Via Resistance, Floating Gate Threshold Voltages, Capacitor Sidewall Leakages) which will be reviewed. Therefore, the choice of plasma parameters and the success of implementation directly impacts the ability to produce the intended integration objective of employing TiN for a large variety of uses in system-on-a-chip applications. For each given application, a family of processes will be proposed.
PS-WeP-26 Simulation of the Production of Atomic Hydrogen in a Low-pressure-arc-discharge-based Source
D.I. Proskurovsky (Institute of High Current Electronics, Russia); V.A. Kagadei (Research Institute of Semiconductor Devices, Russia); A.V. Kozyrev (Institute of High Current Electronics, Russia); I.V. Osipov (Tomsk University of Control Systems and Radioelectronics, Russia)
Treatment of semiconductor and metallic materials in atomic hydrogen is a promising method used in microelectronic and nanoelectronic technologies for desired modification of their properties at the surface, at interfaces, and in the bulk. To produce atomic hydrogen, dissociation of hydrogen molecules in the plasma of a gas discharge is often used. A quantitative model has been proposed which describes the gas discharge and the processes responsible for the production of atomic hydrogen in a cylindrical cell of an atomic hydrogen source based on a low-pressure arc discharge. At the first stage of simulation the principal plasma parameters (the electron and ion densities, the currents of ions, fast electrons, and plasma electrons, and the currents of thermionic and secondary gamma-electrons) were calculated after which, based on the criterion for current self-sustaining, a calculation of the current-voltage characteristics (CVC's) of the discharge was performed. At the second stage the rate of production of atomic hydrogen was calculated for different parameters of the discharge. The following mechanisms for the generation of hydrogen atoms were considered: impact dissociation of molecules by fast electrons accelerated in the cathode fall region, dissociation of the discharge column plasma by thermal electrons, and dissociation at the surface of a hot cathode. The spatial distribution of the atomic hydrogen flux onto the end wall of the discharge cell has been calculated. The calculated CVC's describe adequately the experimental relations obtained for wide ranges of discharge currents and hydrogen flow rates. This has made it possible to refine some constants of unit processes, such as the coefficient of secondary ion-electron emission, the average energy going into the formation of an electron-ion pair, and the temperature of the hot cathode. The atomic hydrogen yield was estimated by the intensity of the luminescent emission from a luminophor and with the help of a sensor based on a thin-film resistor. Comparison of experimental and theoretical dependences of the atomic hydrogen yield on the discharge current, the gas flow rate, and the position of the extraction hole suggests that the proposed model describes adequately the process of production of atomic hydrogen.
PS-WeP-27 Two-Dimensional Simulation of Pulsed Power Electronegative Plasmas
D.J. Economou, B. Ramamurthi, V. Midha (University of Houston)
Low pressure electronegative plasmas are widely used for the fabrication of sub-micron semiconductor devices. Recently, pulsed power operation has emerged as a promising technique for reducing charge induced damage and etch profile distortion (e.g., notching) associated with conventional continuous wave discharges. This paper will report results of a 2-D fluid simulation of a pulsed-power inductively-coupled chlorine plasma. Simulation results show spontaneous separation of the plasma into an ion-ion core and an electron-ion periphery, depending on the negative ion to electron density ratio. The transition from an electron-dominated plasma to an ion-ion plasma in the afterglow was captured. The spatiotemporal evolution of the plasma for varying pressure, power, pulsing frequency and duty ratio has been studied. The evolution of negative ion density profiles is especially complex due to the formation of self-sharpening fronts during plasma "on" and subsequent back-propagation of the fronts during the plasma "off" stage of the pulse. Reactor geometry has a strong influence on negative ion evolution.
PS-WeP-28 Kinetic Modeling of High-Density Diamond Deposition Plasma Chemistry
R. Blumenthal (Auburn University)
The chemistry of electron cyclotron resonance (ECR) microwave plasmas capable of diamond film deposition has been modeled using only neutral molecule energetics under the assumption that the plasma serves only as a constant source of hydrogen atoms. Supersonic pulse, plasma sampling mass spectra of 2% ethane in hydrogen and deutrium, 2% ethylene in hydrogen and deuteruium, 2% acetylene in hydrogen and deuterium and 4% methane in hydrogen and deuterium plasmas all have been fit with a single set of four physically realistic plasma conditions that were the only variable parameters in the modeling. The results of the calculations indicate that the primary reactive chemistry of C2HX species is the stripping of hydrogen from the hydrocarbons to produce acetylene, C2H2, which then undergoes closed-cycles of H(D) atom addition and abstraction for the balance of the species's lifetime in the plasma. The abstraction is the result of two-body collisions of the hydrocarbon with H(D) atoms generated by the plasma, while the addition is by a three-body collision, which is not observed (either experimentally or in modeling) for species other than acetylene. The notable exception is the ethane radical, C2H5, which in addition to the stripping chemistry, may react with H(D) atoms in a two-body collision to produce two methyl radicals, CH3. Recombination of the methyl radicals is found to occur through the three-body reaction that produces ethane, C2H6. In deuterium plasmas, the resulting ethane is isotopically labeled and is responsible for the deuterated ethylenes observed only in the ethane and methane plasmas.
PS-WeP-29 Evaluation of the Spatial Density of Sputtered Particles with Monte Carlo Simulation
T. Nakano, S. Baba (Seikei University, Japan)
We have developed a method to evaluate the spatial density of sputtered particles in the sputtering process using Monte Carlo simulation. With the simulation, the elapsed time Tp of the particles (~105 of trials) staying in a certain spatial region is summed up. In the same simulation, the time Ts required to emit all the trial particles is also calculated, by comparing the simulated arrival number of particles at the substrate with the deposition rate in the actual experiment. The number of particles in the spatial region can be obtained by taking the ratio Tp/Ts, and the spatial density is calculated by dividing it with the volume of the region. For the accurate calculation of Tp, we have applied the model of the particle transfer in the gas atoms of Maxwellian distribution, which has been used successfully for the high pressure sputtering.1 In the present report, the calculated spatial density of the sputtered Cu is applied to explain the intensity of the optical emission from Cu in the plasma, because we have observed a different behavior in the pressure dependence between the emission at 324.8 nm and the emission at 510.6 nm in our previous report2. For gas pressures of 2~20 Pa and the deposition rate of 0.1~0.2 nm/s, the spatial density of the Cu atoms is found to be 1017~1019 m-3 near the target. The density increases with the increase of the Ar pressure. This high spatial density of Cu atoms results in the self-absorption of 324.8 emission by those atoms at the ground state, which makes the increase of 324.8 line less steeper than the 510.6 line at higher pressures.


1 T. Nakano, I. Mori and S. Baba, Appl. Surf. Sci. 113/114 pp.642 (1997)
2 T. Nakano and S. Baba, Vacuum in press.

PS-WeP-30 An Analytical Solution to a Langmuir- Hinshelwood Surface Model of Si Dry Etching
K.R. Milkove (IBM T.J. Watson Research Center)
This talk describes a Langmuir- Hinshelwood phenomenological surface model for the etching of a Si wafer in a low pressure, high density SF6 discharge. The model yields an analytical solution, and its methodology is applicable to any etch system in which the dominant etch component is ion-enhanced energy-driven. Such systems exhibit first-order adsorption kinetics. As such they are characterized by an etching rate that is linear with respect to the feed gas flow rate at low values and nearly independent of flow rate at high values. The key to this model is the derivation of an analytical expression for the surface coverage of the Si wafer by an incoming flux of neutral atomic F. It is shown that when the system pressure is controlled by a variable position throttle valve, the surface coverage is a dependent variable of the total pressure, the feed gas flow rate, the surface area of the Si wafer, the F on Si reaction rate constant (kr), the temperature of the F neutrals within the plasma discharge, the F mass, and the F to Si, S to Si , and Si to Si sticking coefficients. All of these variables are treated as being independent except kr, which is defined as a function of source power, bias power and the Si-Si bonding energy. Analytical expressions are derived for the Si etch rate, the particle residence time, the partial pressure of neutral atomic F, and the effective pumping speed. A major observation of this model is the realization that kr can be determined by equating the partial derivative of the Si etch rate equation with respect to feed gas flow rate to the slope of the linear portion of the experimental Si etch rate versus feed gas flow rate data.
PS-WeP-31 Plasma Measurements and Simulations of a New Hollow Cathode Magnetron Plasma Source for Ionized PVD of Cu Seed Layers
D.B. Hayden, M. Ow, K.A. Ashtiani, K.F. Lai, K. Levy (Novellus Systems, Inc.)
A commercial Hollow Cathode Magnetron (HCM) plasma source is used for depositing ionized Physical Vapor Deposition (PVD) copper (Cu) seed layers onto 200 mm wafers. Langmuir probes, an ion energy analyzer, and a deposition rate monitor are used to characterize the HCM plasma. Spatial scans of the downstream plasma regime, including near the wafer surface, are taken to measure the plasma densities, temperatures, and fluxes. Ionization levels, which greatly affect step coverage and uniformity, are analyzed versus different magnetic field arrangements. It is shown that the magnetic field arrangement near the cup-shaped target opening significantly affects the electron confinement in the source; thus the ionization levels of both argon working gas and sputtered copper. Based on the findings, improvements in the HCM source will be discussed which result in the ability to precisely control the ionization levels in the source as well as the uniformity of the arriving ion flux at wafer level. These improvements yield a 10-50% improvement in the Cu seed step coverage and a 10x improvement in deposited Cu uniformity. Sheet resistance and film thickness uniformities of <1% are achieved across a 200mm wafer. In addition, bottom coverages of 25% and 50% are achieved in 0.25um, 5:1 aspect ratio vias and trenches respectively. The plasma characterization results will be presented and compared to simulations from the Hybrid Plasma Equipment Model (HPEM).
PS-WeP-32 New Reactor for High-rate Deposition of Functional Coatings on Polymer Substrates
P. Bulkin, A. Hofrichter, B. Drevillon (LPICM, Ecole Polytechnique, France)
Concept of Multi-Magnetron Electron Cyclotron Resonance (MMECR) reactor was developed for applications in high-rate low temperature deposition of SiO2/SiOX/Si3N4 multilayer and gradient films onto large area polymer substrates, flat and bended. Whereas broadly used electron beam evaporation and magnetron spattering techniques well suited and extensively used for multiplayer coatings, CVD and PECVD in particular do have clear advantages for gradient films deposition. We present an efficient concept of plasma reactor and report on process parameters. Flexible coaxial cables deliver microwave power to 25 magnetron applicators wich contain rare-earth NdFeB permanent magnets. Gas injection arranged through two distribution grids, one for silane and one for other gases, respectively. In the current version the system provides uniformity of better than 2 per cent on area of 35 cm in diameter (with magnetron antennas arranged in 30x30 cm plane and facing substrate) for both silica and silicon nitride films. Maximal growth rate obtained without compromising the quality of material is up to 6 nm/sec for SiO2 and 1 nm/sec for Si3N4. Films are found to be dense and have good adhesion to polycarbonate.
Time Period WeP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule