AVS2000 Session DI+EL+MS-WeM: Low K Dielectrics

Wednesday, October 4, 2000 8:20 AM in Room 312

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic DI Sessions | Time Periods | Topics | AVS2000 Schedule

Start Invited? Item
8:20 AM DI+EL+MS-WeM-1 Ultra Low k Mesoporous Silica Dielectrics for Semiconductor Interconnects
S. Baskaran, J. Liu, X. Li, C. Coyle, J. Birnbaum, G.C. Dunham, G.E. Fryxell (Pacific Northwest National Laboratory); C. Jin (International SEMATECH)
The semiconductor industry is currently targeting new intermetal dielectric (IMD) films with dielectric constants (k) less than 2.5 for interconnect applications in the 100 nm technology node, and films with k less than 2.0 for the 70 nm technology node. To meet extreme low k needs for advanced on-chip interconnects, films with incorporated porosity will most certainly be required. Porous dielectric films with k values less than 2.2 ("ultra low k") are not easily synthesized using conventional vacuum based technology such as chemical vapor deposition. A simple approach to nanoporous dielectric films involves spin-on deposition of formulations consisting of silicate, polymeric, or hybrid organosilicate precursors with thermally degradable pore-formers. Ultra low k mesoporous silica films have been synthesized with molecularly templated porosity using this approach. Molecularly organized nanostructured aggregates between 2 and 10 nm in size can function as templates for pore formation in spin coated sol-gel silicate films. The use of a structurally organized template during synthesis results in a uni-modal pore size distribution in the final film. In this paper, we present information on precursor chemistry for designing mesoscale porosity, pore architecture and surface chemistry, and the critical dielectric and mechanical properties for mesoporous silica films. Using formulations developed at PNNL, mesoporous films have also been prepared at SEMATECH on production-size wafers, and evaluated. Copper single-damascene one-level test structures were built using mesoporous silica as the intermetal dielectric. No major structural failures were observed after chemical mechanical planarization on both blanket films and patterned wafers, indicating relatively good mechanical integrity for a highly porous structure. With controlled film synthesis and dehydroxylation conditions, mesoporous silica films with k2 2.0 and elastic modulus of 4.0 GPa have been synthesized at PNNL. The results of the one-level metal screening tests at SEMATECH combined with properties obtained at PNNL indicate that mesoporous molecularly-templated silicate films hold promise as ultra low k intermetal dielectrics.
9:00 AM DI+EL+MS-WeM-3 Deposition of Thermal Stable Amorphous Carbon Nitride Thin Films with Low Dielectric Constant by ECR-CVD
X.W. Liu, J.H. Lin, H.C. Shih (National Tsing Hua University, Taiwan, ROC)
Amorphous carbon nitride thin films with low dielectric constants and high thermal stability were synthesized on silicon by using an electron cyclotron resonance chemical vapor deposition (ECR-CVD) system in which a rf bias was applied to the silicon substrate and a mixture of C2H2, N2 and Ar was used as precursors. The dielectric constants of our amorphous carbon nitride thin films were found as low as 2.4 at 1 MHz. The thermal stability of the films has been improved by the incorporation of nitrogen to the carbon film. The basic structure, composition and electronic properties of these films were analyzed by Fourier transformation infrared (FTIR) spectroscopy, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and field emission scanning electron microscopy (FE-SEM) and dielectric constant measurements.
9:20 AM DI+EL+MS-WeM-4 Impact of Hydrogen Addition on the Deposition Rate of SiOF Films Prepared by High Density Plasma CVD
Y.W. Teh (Nanyang Technological University, Singapore); T.C. Ang (Chartered Semiconductor Manufacturing, Singapore); K.S. Wong (Nanyang Technological University, Singapore); K.H. See, S.Y. Loong, Y.C. Wong (Chartered Semiconductor Manufacturing, Singapore)
Fluorinated Silicon Dioxide film (SiOF) has been considered as the more successful first generation interlevel low-k dielectric material. However, the impact of hydrogen addition on SiOF film properties are not extensively studied. In this paper, we present a spectroscopic study of the chemical bonding in SiOF film grown with silane gas added to the standard precursors using the techniques of ellipsometry and infrared (IR) absorption spectroscopy. These SiOF films have been prepared by high density plasma (HDP) chemical vapor deposition at substrate temperature at about 420°C. Addition of hydrogen through silane gas feed is found to control deposition rate and the fluorine doping concentration of the SiOF films. The addition of SiH4 does not lead to the incorporation of hydrogen in detectable quantities in the SiOF films. This phenomenon may be attributed to the strong mutual attraction between hydrogen and fluorine radicals in the HDP. The decrease of refraction index at 632.8nm and the frequency decrease of the dominant IR active bond-stretching vibration at ~1085cm-1 were found to be approximately linear with increase in fluorine concentrations. The silane added to the process gas mixture has been found to play an active role in the SiOF film formation process both in the surface reactions and the chemical bonding properties. Our results show that with an optimized silane flow rate, the film stability of the SiOF towards moisture attack is significantly improved. In addition, a high deposition rate can be achieved together with comparable fluorine incorporation in the film as compared to the standard non-silane precursors.
9:40 AM DI+EL+MS-WeM-5 Solid-state Nuclear Magnetic Resonance of Low Dielectric Constant Si:O:C:H Films
P.-Y. Mabboux, K.K. Gleason (Massachusetts Institute of Technology)
Adding organic content to SiO2 is an evolutionary pathway to low dielectric films with k ≤ 3.0. Alternate names for these materials include carbon-doped oxides, organosilicate glasses (OSG), and Si:O:C:H films. Both spin-coating and chemical vapor deposition (CVD) processes have been developed for this class of low-k films. Fourier transform infrared spectroscopy (FTIR) and x-ray photoelectron spectroscopy (XPS) have only a limited ability to distinguish variations in Si:O:C:H film chemistry. In this work, solid-state nuclear magnetic resonance (NMR) is shown to have sufficient sensitivity to determine the network structure of low dielectric constant Si:O:C:H films. Characterization of Si:O:C:H films by 1H, 13C, and 29Si magic-angle spinning NMR will be demonstrated. Because of its wide range of chemical shifts, 29Si NMR is particularly useful to elucidate new details regarding the composition and structure of these low dielectric constant films. Up to ten different environments can be resolved in some of the films. Many of the observed chemical bonding configurations have been previously observed in bulk organosilicate glasses. The NMR results can be expressed in terms of a connectivity number, which is simply the average number of bonds between network forming atoms. The connectivity number may provide a means to correlate with the mechanical properties. Fundamental understanding of structure-property-processing relationships will facilitate the engineering of the molecular architecture required for successful integration of Si:O:C:H dielectric films.
10:00 AM DI+EL+MS-WeM-6 A New Simple and Accurate Method to Measure Intra-Metal Capacitance of Low-K Fluorinated Silicon Dioxide
K.S. Wong, Y.W. Teh (Nanyang Technological University, Singapore); T.C. Ang, S.Y. Loong, W.B. Loh, Y.C. Wong (Chartered Semiconductor Manufacturing, Singapore)
An accurate and simple technique for intra-metal capacitance measurement is presented. This on-chip technique is based on a test structure design that utilizes only interdigitated capacitors sandwiched between metal plates. Compared to other techniques which utilize transistors in addition to the unknown interconnect capacitance to be characterized, this new technique requires only capacitors and thus much simpler processing and shorter cycle times but with the same level of accuracy. With this test structure design, no reference capacitor is needed. Capacitance voltage (C-V) method is commonly used for intra-metal capacitance measurement. However, the measurement accuracy is often compromised by probe-induced stray capacitance. In this paper, a new measurement technique that can eliminate this stray capacitance is reported. This new technique uses multiple probe configurations to obtain 3 capacitance values and these values can be used to eliminate the probe-induced stray capacitance and obtain the actual intra-metal capacitance. Results show much better accuracy than the conventional C-V measurement. Comparisons between the new technique, the conventional C-V measurement and the Charge-Base Capacitance Measurement (CBCM) techniques are made. Our results based on the new technique show great improvement in the measurement accuracy over the conventional technique. In addition, our results are consistent with the results obtained from the CBCM technique which requires the use of transistors and thus more complex processing and longer cycle times. In this paper, the different measurement techniques were evaluated on high-density plasma chemical vapor deposition (HDP-CVD) fluorinated silicon dioxide (SiOF) inter-level dielectric (IMD) films in 0.18um technology.
10:20 AM DI+EL+MS-WeM-7 DC and RF Characteristics of Advanced MIM Capacitors for MMIC's Using Thin and Low Temperature PECVD Si3N4 Dielectric Layers
C.R. LIM, J.H. LEE, S.W. Paek, K.W. Chung (LG-ELITE, Republic of Korea)
In this work, we show the excellent DC and RF characteristics of MIM (metal-insulator-metal) of PECVD Si3N4 thin film deposited at 85°C. The breakdown field strength of MIM capacitors with 490 Å Si3N4 was larger than 4.1 MV/cm which indicates the excellent quality of the deposited Si3N4 film. The main capacitance of unit area extracted by RF (radio frequency) measurements was 1240 pF/mm2. So, its high capacitance enables us to reduce the size of MIM to a quarter size compared with the conventional MIM having 2000 Å Si3N4. In spite of its thin thickness of dielectrics, RF characteristics showed good performance. Above all, it was fabricated at low temperature, so we were able to develop the process of MIM fabrication using dielectric lift-off. At this point, the thickness adapted in dielectric lift-off process was about 1000 Å for adjusting capacitance to a designed capacitance.
10:40 AM DI+EL+MS-WeM-8 Rapid Prototyping by Local Deposition of Siliconoxide and Tungsten Nanostructures for Interconnect Rewiring
H.D. Wanzenboeck, S. Harasek, H. Langfischer, A. Lugstein, E. Bertagnolli (Vienna University of Technology, Austria)
The local deposition of dielectric material and metal wires as typically used for rewiring of interconnect layers has been demonstrated to be a promising approach for rapid prototyping of integrated circuits. With an ion beam induced surface reaction dielectric structures were fabricated with a selected geometric configuration in dimensions ranging from several hundred µm down to the deep sub-µm scale displaying the potential application in interconnect modification. A focused Ga ion beam at 50 kV acceleration voltage was applied to induce the surface decomposition of gaseous precursors. A dynamic adsorption state was achieved characterized by the equilibrium between influx through a nozzle system and the outlet through the vacuum pump. Siliconoxide was obtained by using siliconorganic compounds and oxygen as precursor adsorbed on the surface at a total pressure typically between 10E-5 to 10E-6 Torr. Conductive W-structures were obtained using W(CO)6. The suitability for practical applications in microelectronics has been demonstrated by measuring the electrical properties of deposited dielectrics using test vehicles with a metal-insulator-metal (MIM) capacitor setup. The thickness of the dielectric layer was varied between 70 nm and 1.4 µm. The resistivity and capacitance of FIB deposited dielectrics was found to vary with deposition parameters such as exposure time and scanning rate of the ion beam. A chemical characterization of the fabricated dielectric layers has been performed. The electrical properties of locally deposited dielectrics were correlated with the material composition of the deposited material. The suggested optimized deposition process can provide improved dielectrics suitable as interline and interlayer insulator for a complex microelectronic interconnect architecture.
Time Period WeM Sessions | Abstract Timeline | Topic DI Sessions | Time Periods | Topics | AVS2000 Schedule