ALD2019 Session AS2-TuA: Area-Selective ALD: Combinations with Etching

Tuesday, July 23, 2019 4:00 PM in Grand Ballroom H-K

Tuesday Afternoon

Session Abstract Book
(262KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2019 Schedule

Start Invited? Item
4:00 PM AS2-TuA-11 Area-Selective Deposition and Smoothing of Ru by Combining Atomic Layer Deposition and Selective Etching
Martijn Vos (Eindhoven University of Technology, Netherlands); Sonali Chopra (University of Texas at Austin); Marcel Verheijen (Eindhoven University of Technology, Netherlands); John Ekerdt (University of Texas at Austin); Sumit Agarwal (Colorado School of Mines); Erwin Kessels, Adrie Mackus (Eindhoven University of Technology, Netherlands)

Area-selective ALD is expected to become a key technology for fabrication of nanoelectronics with sub-5 nm dimensions. The technology is nevertheless in an early stage of development, and one of the main challenges is to obtain a high selectivity.1 The selective window can however be extended by introducing periodic etch steps that remove defects or nuclei from the non-growth area.2

In this work, it is demonstrated that Ru can be grown selectively on top of Pt or Ru (metal-on-metal), by integrating etch cycles into an ALD-etch supercycle. Furthermore, it is shown that this supercycle recipe simultaneously leads to smoothing of the Ru film on the growth area. Area-selective ALD of metal-on-metal is interesting for applications in semiconductor devices, and Ru is specifically relevant since Ru is being considered for the replacement of Cu in small dimension interconnects.

The optimal deposition temperature for the thermal Ru ALD process (without etching) was determined, by maximizing the difference in growth per cycle between growth on Pt and SiO2. Even for the optimized temperature, the ALD process demonstrated a limited growth-selectivity between the two areas. A periodic etch cycle, consisting of an O2 plasma exposure and a reducing H2 dose, was therefore introduced to remove unwanted Ru nucleation from the SiO2, allowing for area-selective deposition with improved selectivity. Both the etch time and frequency were varied to optimize the net growth rate on Pt, while maintaining a clean SiO2 non-growth area. Using an etch cycle performed after every 100 ALD cycles, 8 nm was deposited with high selectivity on patterned Pt lines, demonstrating the potential of the approach. In addition, it is shown that the inclusion of etch cycles also has the benefit of smoothing of the Ru film, resulting in a lower surface roughness than for the ALD recipe itself. Finally, some guidelines will be discussed for extending the ALD-etch supercycle approach to other material systems.

1 Mackus et al., Chem. Mater., 31, 1, 2019.

2 Vallat et al., J. Vac. Sci. Technol. A., 35, 01B104, 2017.

View Supplemental Document (pdf)
4:15 PM AS2-TuA-12 Single Batch Strategies for the Development of an Area Selective Deposition Process with the Deposition/Etch Approach
Christophe Vallée, Marceline Bonvalot (LTM-UGA, France); Rémy Gassilloud (CEA-Leti, France); Vincent Pesce, Ahmad Chaker, Samia Belahcen (LTM-UGA, France); Nicolas Possémé (CEA-Leti, France); Bernard Pelissier, Patrice Gonon, Ahmad Bsiesy (LTM-UGA, France)

Several approaches are currently being investigated for the development of Area Selective Deposition (ASD) processes. For instance, the use of self-assembled monolayers (SAM) or block copolymers, processing temperatures promoting inherent selectivity, spatial ALD or selective ALD based on ABC-type cycles are common routes under study for this purpose [1-3]. The original approach developed in our group consists in taking benefit from an in situ etching step in a standard ALD cycle [4]. This deposition/etch approach is a simple and effective strategy and recently, two selective depositions have been obtained for two different plasma etching chemistries:

- First, selective deposition on metallic surfaces versus silicon-based surfaces (SiN, SiO2 and Si) has been demonstrated in the case of Ta2O5 and TiO2 by the application of an extra NF3 plasma etching step to standard PEALD cycles [4-5];

- Second, geometric selective deposition (also called Topographically Selective Deposition) has been achieved on trench walls by the application of an extra Ar+ sputtering step to standard PEALD cycles [6].

In this work, advantages and drawbacks of this deposition/etch approach to ASD processes will be discussed. The following issues will be addressed:

- What is the impact of the extra etching step on the material properties and on the overall throughput of the process? Are there any etching-induced damages to the substrate (etching, roughness…)?

- Does the deposition temperature have to be determined according to the boiling temperature of etching by-products?

- May the etching step induce any drift of the process?

By addressing these questions, the need for an appropriate specific design of the PEALD reactor will be emphasized so as to provide an efficient strategy for a precise control of plasma parameters. Special attention will be devoted to the role of medium energy ions in the plasma, as illustrated by the following two examples:

- At first, a new route for topographically selective deposition on top and bottom only of trenches will be discussed;

- Second, a surface selective deposition on SiO2 surfaces versus metallic surfaces using the alternating PEALD/Atomic Layer Etching (ALE) approach will be shown.

[1] A. J. M. Mackus et al, Chem. Mater.31 (2019) 2-12

[2] G. N. Parsons, J. Vac. Sci. Technol. A 37 (2019) 020911

[3]R. Chen, H. Kim, P. C. McIntyre, and S. F. Bent, Appl. Phys. Lett. 84 (2004) 4017

[4] R. Vallat et al, J. Vac. Sci. Technol. A35 (2017) 01B104

[5] R. Vallat et al, J. Vac. Sci. Technol. A37 (2019) 020918

[6] A. Chaker et al, Appl. Phys. Lett. 114 (2019)

4:30 PM AS2-TuA-13 Surface Halogenation of Amorphous Carbon for Defect-free Area-Selective Deposition of Metal Oxides
Mikhail Krishtab (KU Leuven, Belgium); Silvia Armini (IMEC, Belgium); Stefan De Gendt (KU Leuven/IMEC, Belgium); Rob Ameloot (KU Leuven, Belgium)

The area-selective deposition (ASD) processes gained recently a lot of attention from the microelectronics industry as a potential solution for the issues associated with top-down pattern formation at nanoscale. As the critical dimensions approach few tens of nanometers, the precise positioning of a pattern becomes essential for reliably functioning devices or connections between them. The area-selective atomic-layer deposition (ALD) guided by the contrast in surface functionality allows to circumvent some of the lithography-related issues by introducing self-alignment of adjacent layers. However, the defectivity of typical ASD ALD processes relying on delayed nucleation on a non-growth area is one of the key concerns for the adoption of this technology. Among the strategies addressing the defectivity issue, repetitive refreshment of the non-growth surface and selective etching of undesired nuclei are particularly promising.

In this study, we examined the possibility to combine the two defect-reduction strategies by employing low power Cl2 or CF4 plasmas for both surface functionalization/re-functionalization and for removal of nuclei from a non-growth layer represented by amorphous carbon. We employed prototypical water-based metal oxide processes such as ALD ZnO (DEZ/H2O) and ALD TiOx (TiCl4/H2O) to demonstrate area-selective deposition on top of silicon oxide using both blanket films and patterns of amorphous carbon landing on a layer of thermal silicon oxide. At first, the plasma parameters were optimized to minimize etching of amorphous carbon and silicon oxide. Then the selectivity of the ALD processes under study was checked on the plasma halogenated amorphous carbon films using a standard ALD sequence and a sequence interrupted by the appropriate plasma treatment steps. While CF4-plasma showed better performance in etching of metal oxide nuclei on top of amorphous carbon, the more hydrophobic fluorinated films exhibited inferior selectivity as compared to chlorinated amorphous carbon films for both types of ALD processes. In turn, Cl2-plasma treated films of amorphous carbon demonstrated outstanding ALD ZnO growth inhibition along with lower tendency to formation of nucleation defects during ALD ZnO and ALD TiOx. The origin of the defects and of the observed differences in selectivity is discussed in the context of detailed surface composition analysis of the halogenated amorphous carbon films.

View Supplemental Document (pdf)
Session Abstract Book
(262KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2019 Schedule