ALD2019 Session AF-TuA: Plasma ALD: Growth and Characterization

Tuesday, July 23, 2019 1:30 PM in Grand Ballroom A-C

Tuesday Afternoon

Session Abstract Book
(327KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2019 Schedule

Start Invited? Item
1:30 PM AF-TuA-1 Low Temperature High Quality Silicon Dioxide by Neutral Beam Enhanced Atomic Layer Deposition
Hua-Hsuan Chen, Daisuke Ohori, Takuya Ozaki (Tohoku University, Japan); Mitsuya Utsuno, Tomohiro Kubota, Toshihisa Nozawa (ASM Japan K.K., Japan); Seiji Samukawa (Tohoku University, Japan)

Atomic layer deposition (ALD) has shown to have high control of conformality on thin films in recent decades. Instead of conventional deposition technique, such as physical vapor deposition and plasma-enhanced chemical vapor deposition, it is usually used to deposit thin layers on complex structures due to its thickness control ability. Plasma-enhanced ALD (PEALD) and thermal ALD are the examples. However, there are some serious problems. For instance, plasma irradiation and charge accumulation existed in PEALD [1] can cause defects in thin films; high temperature is also needed in thermal ALD. In previous studies, neutral beam technology has shown advantages on depositing high quality films, such as low-k SiOCH film [2] and nitrogen doping diamond-like carbon film [3]. Here, we demonstrated the atomic layer growth of SiO2 film on Si using novel neutral beam-enhanced deposition (NBEALD) technique, which was deposited at room temperature, and serves as an important material in various applications.

Neutral beam enhanced atomic layer deposition system consists of a large-radius ALD process chamber and an inductively coupled plasma source. We used Aminosilane as the precursor and O2 as the neutral beam source to deposit films on the Si substrate. The stage temperature was controlled at 30℃. After the ALD cycle which was composed of: precursor feed, precursor purge, O2 injection, neutral beam irradiation and O2 purge, the SiO2 film was grown on silicon wafers. We used spectroscopic ellipsometry to measure film thickness; the atomic force microscope was used to investigate the surface morphology; the X-ray photoelectron spectroscopy (XPS), X-ray reflectivity (XRR) and secondary ion mass spectrometry (SIMS) were used to analyze the chemical composition of the films for investigating the SiO2 film quality.

The ALD cycle shows the thickness is linearly dependent on the number of cycles with growth per cycle comparable to that of PEALD [4]. The uniformity of the film was obtained by measuring thickness on different places of 8 inch wafer, and the result shows the film has good uniformity. For the XPS, XRR and SIMS results, high quality and high density SiO2 film composition was confirmed. Furthermore, the excellent surface morphology could be seen on SiO2 films as no difference for thickness discrepancy. Therefore, we succeeded to make high quality SiO2 films using NBEALD technique under room temperature.

[1] H. B. Profijt et al, J. Vac. Sci. Technol. A 29, 050801 (2011)

[2] Y. Kikuchi et al, J. of Phys. D: Appl. Phys. Vol. 46 (2013)

[3] S. Yasuhara et al, J. of Phys. D: Appl. Phys. Vol. 43 (2010)

[4] S. J. Won et al, IEEE Elec. Dev. Lett. Vol. 31, No. 8 (2010)

1:45 PM AF-TuA-2 Radical Surface Recombination Probabilities during Plasma ALD of SiO2, TiO2 and Al2O3 Determined from Film Conformality
Karsten Arts (Eindhoven University of Technology, Netherlands); Mikko Utriainen (VTT Technical Research Centre of Finland); Riikka Puurunen (Aalto University, Finland); Erwin Kessels (Eindhoven University of Technology, Netherlands); Harm Knoops (Oxford Instruments Plasma Technology, UK)

This work addresses the growth of conformal films on high aspect ratio (AR) structures by plasma ALD, which can be challenging due to loss of the reactive radicals through surface recombination. Using plasma ALD of SiO2, TiO2 and Al2O3 as case studies, we show that the AR up to which film growth is achieved gives quantitative insight into the recombination probability r of plasma radicals on given material surfaces. Such quantitative information on r is often not available in the literature and difficult to obtain by conventional methods, while it is essential for predicting and understanding the conformality achieved by plasma ALD. Applications of plasma ALD such as the conformal growth of SiO2 spacers for self-aligned patterning can thus benefit from this work.

In this study we use microscopic lateral-high-aspect-ratio structures1 supplied by VTT (PillarHall® LHAR4) to assess the conformality of plasma ALD processes. As these chips have extremely high AR trenches (AR<10000) deposition is typically limited up to a certain penetration depth. For the first time, we demonstrate that this penetration depth can be used to quantify r during plasma ALD.

By carrying out plasma ALD of SiO2 using SiH2(N(C2H5)2)2 and different O2/Ar plasma exposure times, we have observed that the penetration depth increases logarithmically with the plasma time used in the ALD cycle. This relation is well described by a simple analytical model which can be used to calculate r. For plasma ALD of SiO2 this gives r=(6±3)∙10-5, which compares well to reported literature values.2 Using a long plasma exposure, deposition of SiO2 is achieved up to an AR as high as 900. Similarly, growth of TiO2 using Ti(N(CH3)2)4 reaches AR>250. In contrast, plasma ALD of Al2O3 using Al(CH3)3 shows a surprisingly low penetration (AR~80) compared to the thermal ALD process, even with long plasma exposure, which indicates the impact of a relatively high surface recombination probability. Estimations of the corresponding values of r and additional insights will be provided in this contribution. These results demonstrate that our method is a powerful and straightforward way to gain knowledge on surface recombination during plasma ALD and its strong effect on film conformality.

1. Arts, Vandalon, Gao, Utriainen, Puurunen, Kessels and Knoops, 18th Int. Conf. At. Layer Depos. ALD 2018 – Proc., (2018)

2. Kim and Boudart, Langmuir 7, 2999 (1991)

View Supplemental Document (pdf)
2:00 PM AF-TuA-3 A Robust Method for In-situ Gas Monitoring of ALD Processes using Optical Emission Spectroscopy of a Pulsed Remote Plasma
Joe Brindley, Benoit Daniel, Victor Bellido-Gonzalez (Gencoa Limited, UK); Richard Potter, Ben Peek (University of Liverpool, UK)

Effective and robust monitoring of individual gas concentrations during the ALD processes offer a unique insight into the process behavior as well as being an important step in the eventual wide-spread industrialization of the ALD technique.

Conventional quadrupole residual gas analyzers have difficulty monitoring ALD processes due to the high process pressures and the presence of contaminating hydrocarbons contained within many ALD precursors. For these reasons monitoring of precursor gas concentrations during the ALD process is not often undertaken, especially at the production stage.

An alternative gas sensing technique that operates directly at pressures above 1E-4 mbar has been built around remote plasma emission monitoring. This technique involves the generation of a small, remote plasma using an inverted magnetron placed within the ALD vacuum system. Consequently, species that are present within the vacuum become excited in the sensor’s plasma, emitting a spectrum of light, which can then be used to identify and monitor the emitting species. Importantly, this plasma, generated inside the sensor, has a sole function as a gas detector and does not affect the ALD process itself.

This work will demonstrate that the sensing method is robust when exposed to the ALD processing environment. Previous work had demonstrated the usefulness of this technique but limitations were encountered when using a DC voltage to generate the sensor’s plasma as contamination and reduced sensitivity developed when used with certain precursors. This work will describe a novel method of generating the detector plasma using a high peak power, low duty cycle pulsed voltage. It will be demonstrated that the pulsed power technique is more effective than DC in preventing contamination of the sensor’s electrodes as well as improving the detection sensitivity of common ALD precursors and their reaction by-products.

Examples of this sensing technique’s practical uses for Al2O3 and TiO2 ALD processes are discussed; this includes detection of contaminants, optimizing purge cycle length and monitoring the reaction dynamics in terms of precursor gas consumption and reaction by-products.

2:15 PM AF-TuA-4 Near Room Temperature Plasma Enhanced Atomic Layer Deposition of Gold Metal
Michiel Van Daele (Ghent University, Belgium); Matthew Griffiths (Carleton University, Canada); Ali Raza (Ghent University - IMEC, Belgium); Matthias Minjauw (Ghent University, Belgium); Seán Barry (Carleton University, Canada); Roel Baets (Ghent University - IMEC, Belgium); Christophe Detavernier, Jolien Dendooven (Ghent University, Belgium)

Currently only two Au ALD processes exist, using two different precursors. The first Au ALD process, reported by Griffiths et al. [1], is a three step process using Me3AuPMe3 as the precursor in combination with an oxygen plasma and water vapour as the reactants. The deposition of metallic gold was reported at a deposition temperature of 120°C, with only some carbon and oxygen impurities present in the film (6.65% C and 1.83% O). A growth per cycle of 0.05 nm per cycle was achieved. The Au ALD process, reported by Mäkelä et al. [2], uses Me2Au(S2CNEt2) as the precursor and ozone as the reactant. Deposition between 120-180°C was reported with self-limiting growth at 180°C and a growth rate of 0.09 nm per cycle. The deposited films had low resistivity values (4-16µΩ cm) and were chemically pure with few impurities, O (2.9%), H (0.9%), C (0.2%), and N (0.2%).

A new plasma enhanced ALD process has been developed using Me3AuPMe3 and H2 plasma as the precursor and reactant, respectively. Both precursor and reactant exhibit saturating behaviour, with a growth per cycle of 0.03 nm per cycle. A temperature window between 50°C and 120°C is achieved, with decomposition of the precursor above 120°C. The as-deposited gold films are polycrystalline and pure, with no phosphorous present in the film and very few carbon impurities (0.3%). Measured resistivity values (5.85µΩ cm) were close to the expected bulk value of gold (2.44 µΩ cm).

The surface chemistry and growth mechanism were investigated using in-situ RAIRS measurements, optical emission spectroscopy, and mass-spectrometry, pointing to an abbreviated growth cycle, instead of a complete one [3]. The initial growth starts off with the nucleation of gold particles on the surface. The formed gold nanoparticles grow and coalesce during the ALD process, as characterized using SEM measurements. The spacing of the gold particles makes this process interesting for surface enhanced Raman spectroscopy (SERS). Free space Raman measurements were performed on some of the samples and these showed excellent surface enhancement of the Raman signal. As far as we know this is the first report of an ALD gold film that shows SERS properties. In contrast to other SERS substrate fabrication methods, often involving lithography, this ALD process provides a direct way to fabricate SERS substrates without the need for a lot of process steps.

[1] M. B. E. Griffiths, P. J. Pallister, D. J. Mandia, and S. T. Barry, Chem. Mater. 28(1) (2016) 44-46

[2] M. Mäkelä, T. Hatanpää, K. Mizohata, J. Räisänen, M. Ritala, and M. Leskelä, Chem. Mater. 29(14) (2017) 6130-6136

[3] S. Elliott, G. Dev, and Y. Maimaiti, J. Chem. Phys. 146(5) (2017) 052822 View Supplemental Document (pdf)
2:30 PM AF-TuA-5 Low-Temperature Deposition of Gallium Oxide and Aluminum Oxide with Arrays of Microcavity Plasma Enhanced Atomic Layer Deposition
Jinhong Kim, Andrey Mironov, Sung-Jin Park, James Gary Eden (University of Illinois at Urbana-Champaign)

A new atomic layer deposition (ALD) technology has been developed with an array of microcavity plasma devices which enable to grow the atomic layers at low temperature and enhance the growth rate with less defects and contamination. Confining low temperature plasmas to an array of microcavities yields uniform, glow discharges operating at pressures of 1 atmosphere and beyond. Not only are electron densities above 1016 cm-3 now routine, but the plasma electron temperature (Te) and the ratio of the local electric field strength to the gas number density (E/N) are also increased significantly relative to conventional (macroscopic) plasmas. All of these characteristics are ideal for plasma chemical processing to generate oxidants efficiently compared to conventional RF or others existing source technology. A compact ALD system of which volume reduced by at least a factor of five was realized thanks to the minizturized microplasma source operating in lower frequency ac waveform. The uniform and conformal gallium oxide (Ga2O3) and aluminum oxide (Al2O3) thin films were deposited at low temperatures (< 50 °C ) on silicon, quartz, and even polyethylene terephthalate (PET). Due to the complete reaction between precursors, the stoichiometric value of films presents ~ 1.5 in crystalline state, indicating the presence of negligible levels of impurities. MOSCAP was fabricated to analyze the electrical characteristic of 30 nm thickness of Al2O3 film. This MOSCAP exhibits higher breakdown electric field of 6.1 MV than conventional Al2O3 thin film . Hysteresis width from the sweep bias voltage was measured to less than 1 mV which is close to ideal MOSCAP electrical characteristics. In addition, Ga2O3 films deposited on PET were used to fabricate for transparent and flexible solar-blind photodetector with metal-semiconductor-metal junction structure. The crystallinity of films was analyzed using Transmission electron microscope (TEM) and X-ray diffraction (XRD). Post annealing (> 800 C) with argon environment was essential to produce polycrystalline β-Ga2O3. Bandgap was calculated by optical characteristics of the films from UV spectrophotometer. The photoresponse properties of photodetectors were investigated by the current-voltage characteristics and time-dependent photoresponse curves. Various thin film grown by microplasma enhanced ALD demonstrates improved optical and electrical properties. The scientific inspiration of this new deposition technology as well as the prospect for commercial application will be discussed in this presentation.

2:45 PM AF-TuA-6 The Effects of Varying Plasma Conditions on Plasma Assisted Atomic Layer Epitaxy
David Boris, Virginia Wheeler, Neeraj Nepal, Samantha G. Rosenberg, Jason Avila, Jeffrey M. Woodward, Virginia Anderson, Scott Walton, Charles Eddy, Jr. (U.S. Naval Research Laboratory)

Plasma assisted atomic layer deposition (PA-ALD) is a low temperature, conformal, layer-by-layer deposition technique that is based on a pair of self-terminating and self-limiting gas-surface half-reactions, in which at least one half-reaction involves species from a plasma. This approach generally offers the benefit of substantially reduced growth temperatures and greater flexibility in tailoring the gas phase chemistry to produce varying film characteristics. The flexibility and lower growth temperatures that plasmas provide come at the cost of a complex array of process variables that often require great care on the part of the user.

In response to this challenge, this work focuses on the use of plasma diagnostics to inform the choice of process conditions for PA-ALD systems. In this work we employ VUV-NIR spectroscopy, charged particle collectors near the substrate, and spatially resolved Langmuir probe measurements to characterize the inductively coupled plasma source used in a Fiji 200 (Ultratech/CNT) PA-ALD tool. In particular, we assess the total ion flux reaching the substrate surface, spatial variation of plasma properties, and the relative fractions of atomic and molecular species generated in the plasma under a variety of pressures and gas input flow fractions in context of PA-ALD of AlN, InN, TiO2 and Ga2O3 films. Changes in plasma parameters are then linked with changes in film characteristics.

3:00 PM AF-TuA-7 Plasma-Enhanced Atomic Layer Epitaxy of Ultra-wide Bandgap Ga2O3 and (AlxGa1-x)2O3 Films
Virginia Wheeler, Neeraj Nepal, David Boris, Scott Walton, Syed Qadri, Jason Avila, David Meyer, Brian Downey, Vikrant Gokhale (U.S. Naval Research Laboratory); Luke Nyakiti (Texas A&M University); Marko Tadjer (U.S. Naval Research Laboratory); Mark Goorsky (University of California Los Angeles); Charles Eddy Jr. (U.S. Naval Research Laboratory)

Ga2O3 has emerged as a promising material for next generation power electronics. While β-Ga2O3 (monoclinic) is the most stable and studied of five Ga2O3 polymorphs, the slightly less energetically favorable α- and ε-Ga2O3 phases have unique characteristics that can be exploited. α -Ga2O3 (rhombohedral, corundum) has the largest bandgap of 5.3 eV and can be alloyed with α-Al2O3 and α-In2O3 for bandgap engineering. ε-Ga2O3 phase (hexagonal, wurtzite) is polar, with a predicted polarization strength that is 10 and 3 times larger than that of GaN and AlN, respectively. Like the III-N system, polarization induced charges can lead to higher charge densities and mobilities in two-dimensional electron channels formed at heterojunctions, which would improve the viability of Ga2O3 electronic devices. Plasma-enhanced atomic layer deposition (PEALD) is a popular, conformal, energy-enhanced synthesis method for thin films due to its many advantages, including: deposition at reduced growth temperatures, access to metastable phases and improved crystallinity, and increased growth rates. In this work, we use PEALD to produce high-quality heteroepitaxial Ga2O3 and (AlxGa1-x)2O3 (AlGO) films, and investigate phase selectivity as a function of substrate, growth temperature (Tg), plasma gas phase chemistry and gas pressure.

All Ga2O3 films were deposited in a Veeco Fiji G2 reactor equipped with a load lock and turbo pump using trimethygallium and O2 plasma. Initial studies on c-plane sapphire substrates at 350°C and 8 mTorr, show the phase could be altered from β to α by a varying the O2 flow during plasma pulse from 5-40 sccm. Optical emission spectroscopy indicate that the O*/O2 ratio is crucial for phase selectivity while the high ion flux to the surface can contribute to the crystallinity at low Tg. To grow ε-Ga2O3 on c-plane sapphire required going to much higher temperature (500°C), pressure (100’s mTorr), and O2 flow (100sccm) . Under no conditions was pure ε-Ga2O3 on sapphire achieved. Using optimum growth conditions for the three phases on sapphire, films were deposited on GaN and diamond to determine the effect of substrate structure. While films on diamond resulted in mixed β/ε phases, pure ε-phase films were attained on GaN and the strain varied with pressure and Tg. To investigate favorable heterojunctions for 2DEG formation, AlGO films were developed. While the full stoichiometric range could be reached using a PEALD digital alloying method, crystallinity was lost above ≈30 %Al, independent of phase. Initial electrical results on breakdown voltage and heterojunctions will be shown in order to establish the feasibility of these films in device applications.
Session Abstract Book
(327KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2019 Schedule