ALD2019 Session AS-TuP: Area Selective ALD Poster Session

Tuesday, July 23, 2019 5:30 PM in Evergreen Ballroom & Foyer

Tuesday Afternoon

Session Abstract Book
(324KB, May 5, 2020)
Time Period TuP Sessions | Topic AS Sessions | Time Periods | Topics | ALD2019 Schedule

AS-TuP-1 Laterally-Structured Dielectrics by Area-Selective Atomic-Layer-Deposition on 3D Substrates
Philip Klement, Daniel Anders, Fabian Michel, Jörg Schörmann, Sangam Chatterjee (Justus Liebig University Giessen, Germany)

Industrial semiconductor fabrication combines lithography, etching, and deposition processes to create electronic devices. The quest for miniaturization of those devices has led to complex fabrication processes with multiple patterning and etching steps to achieve area-selective deposition. However, with conventional top-down fabrication reaching its limits in pattering resolution and alignment, a tool for bottom-up processing in advanced technology must deposit different combinations of materials area-selectively. Atomic-layer-deposition (ALD) is a technique for depositing high-quality, ultrathin films of dielectrics with the potential of area-selective deposition. It could reduce the number of manufacturing steps and allow for continued miniaturization, yet no area-selective deposition of lateral heterostructures has been realized.

Here, we show the successful direct patterned deposition of TiO2 on complex SiO2 substrates creating smooth surfaces of alternating dielectrics. Our approach demonstrates area-selective deposition on three-dimensional substrates, and we identify factors to consider that are not present in area-selective deposition on conventional two-dimensional substrates. We use a combination of electron beam lithography using a polymer mask, ion beam etching, plasma treatment, and ALD similar to established semiconductor fabrication processes to realize lateral heterostructures of dielectrics. Several process parameters were varied, and their effect on the resulting structure was investigated by atomic force microscopy, scanning electron microscopy, and X-ray photoelectron spectroscopy. We investigated different polymer mask surfaces and precursor diffusion in terms of area-selective deposition, and found that a number of factors must be considered in design, patterning, and deposition to achieve reproducible results. Our work enables the realization of lateral heterostructures of dielectrics as building blocks for advanced technology applications.

AS-TuP-2 Light Assisted Area Selective Atomic Layer Deposition on Plasmonic Nanoantennas
Chengwu Zhang, Tuo Gao, Brian Willis (University of Connecticut)

Plasmonic nanoantennas, especially with gaps less than 10 nm, can greatly enhance electric fields through excitations of surface plasmons, which are collective oscillations of electrons excited by light. Arrays of plasmonic nanoantennas can be designed to concentrate and manipulate light at the nanoscale, and have wide applications such as surface enhanced spectroscopy, photo-driven chemical conversion, and optical information processing. Atomic layer deposition (ALD) is a thin-film deposition technique capable of producing conformal thin films with precise control of thickness and composition at the atomic level. Area selective ALD provides a way to precisely tune nanogaps to enhance their optical and electronic properties. The optical properties of plasmonic nanostructures offer the possibility to enhance selective growth through resonant excitations. In this work, we investigate the effect of light in area selective ALD on plasmonic nanoantennas.

We present a case study of Cu area selective ALD on Pd nanoantennas. The sizes of antenna dimers range from 25 nm to 200 nm, with 20 nm gaps. The gaps are measured and compared before and after thermal ALD with and without light irradiation. Results show GPC (growth per cycle) is enhanced at lower temperatures using irradiation, which yields better selectivity. As an example, for nanoantennas with lengths of 75 nm and widths of 25 nm, ALD growth at 150℃ with light yields almost the same GPC as 230℃ without light. Compared with thermal ALD, only 1/3 number of cycles are required for the same growth with irradiation. Using various nanoscale antenna designs and array configurations, we analyze the role that plasmonic heating or hot electrons may contribute to the enhanced growth.

AS-TuP-3 Area-Specific Atomic Layer Deposition (ALD) of Cobalt As Mediated by Thermally Induced Dehydrocoupled Self-Assembled Monolayers (SAMs)
Barry Arkles, Jonathan Goff, Chad Brick (Gelest, Inc.); Alain Kaloyeros (SUNY Polytechnic Institute)

Organic trihydridosilanes can provide an elegant route for generating self-assembled monolayers (SAM)s by vapor phase transport on a variety of substrates. Under mild conditions, these precursors can be made to interact with a variety of clean metal and hydrogenated metalloid surfaces, including those of interest for nanoscale integrated circuitry (IC) applications, such as titanium, copper, and silicon, to form near-zero-thickness SAMs. As shown in the figure below, the resulting SAMs can be customized with specific functionality (depending on the choice of the R substituent) to activate or deactivate subsequent ALD Co on the underlying substrate of choice, leading to area-specific Co deposition. In this work, negative and positive ALD Co protocols under low substrate temperature conditions will be presented and discussed.

View Supplemental Document (pdf)
AS-TuP-4 Investigation of In-situ Surface Cleaning of Cu Films using O3/O2 and N2H4
Su Min Hwang, Aswin L.N. Kondusamy, Qin Zhiyang, Harrison Sejoon Kim, Luis Fabián Peña, Kui Tan, Jean-Francois Veyan (University of Texas at Dallas); Daniel Alvarez, Jeffrey Spiegelman (RASIRC); Jiyoung Kim (University of Texas at Dallas)

Copper is widely used in semiconductors as interconnects due to its low resistivity, high resistance to electromigration, low temperature coefficient of resistance, and good thermal stability.1 Recent demonstration of atomic layer deposition (ALD) of Cu thin films is expected to overcome the limitations of the PVD process and could be used to deposit a highly conformal film over high-aspect ratio structures with precise thickness control. Several processes on ALD Cu have been reported requiring an additional reduction step to obtain metallic Cu.2 Therefore, it is imperative to explore reducing agents capable of reducing the oxide on Cu at low temperatures. Among the various available reducing agents, N2H4 (Hydrazine) can be used in the reduction of copper oxide due to its higher reduction capability.3 Inspired by Hydrazine’s unique characteristics, we explore the feasibility of vapor-phase reduction of copper oxide using N2H4 to achieve an ideal metallic Cu film in an ALD environment. Additionally, a detailed in-situ surface analysis of the reduction with N2H4 has not been reported yet.

In this work, Cu samples were oxidized using an O3/O2 mixture, followed by N2H4 using a rapid thermal ALD system to investigate the reduction effectiveness of N2H4. From the XPS analysis, Cu samples treated with O3/O2 showed the diffusion of oxygen into the sample and the formation of Cu2O layer that is approximately 4 nm thick. With N2H4 treatment, a significant amount of copper oxide was reduced to metallic copper with approximate thickness of 1 nm, the comparable reduction capability of N2H4 agent. In addition, in-situ reflection absorption infrared spectroscopy (RAIRS) was employed to elucidate the individual surface chemistry of copper films during the oxidation (O3/O2) and reduction (N2H4) step. The detailed experimental results will be presented.

This work is partially supported by Rasirc Inc. by providing N2H4. We also acknowledge TMEIC (Toshiba Mitsubishi-Electric Industrial Systems Corporation) for providing the O3 generator.

1 R.P. Chaukulkar, N.F.W. Thissen, V.R. Rai, and S. Agarwal, J. Vac. Sci. Technol. A 32, 01A108 (2014).

2 L.F. Pena, J.F. Veyan, M.A. Todd, A. Derecskei-Kovacs, and Y.J. Chabal, ACS Appl. Mater. Interfaces 10, 38610 (2018).

3 D.M. Littrell, D.H. Bowers, and B.J. Tatarchuk, J. Chem. Soc. Faraday Trans. 1 Phys. Chem. Condens. Phases 83, 3271 (1987).

View Supplemental Document (pdf)
AS-TuP-5 Area-Selective Deposition of SiO2 based on Spatial ALD with Interleaved Etching Steps to Obtain High Selectivity
Alfredo Mameli (TNO/Holst Center, Netherlands); Fred Roozeboom (Eindhoven University of Technology and TNO, Netherlands); Paul Poodt (TNO/Holst Center, Netherlands)

Area-selective atomic layer deposition (AS-ALD) has been envisioned as a potential technological solution for advanced patterning. However, the selectivity that can be obtained is often very limited and the throughput of most AS-ALD methods is low, which hampers its industrial acceptance.1,2 In this work, we present a process for AS-ALD of SiO2 using intermittent plasma etching steps to obtain high selectivity.3 At the same time, the deposition process itself is performed in a spatial ALD mode at atmospheric pressure that allows for achieving high throughput.4

AS-ALD of SiO2 on a pre-patterned substrate with SiO2 and ZnO was demonstrated using a chemoselective inhibitor that chemisorbs preferentially on the non-growth area (ZnO) while it allows for depositing SiO2 on the growth area (SiO2). In order to obtain high selectivity, a blanket fluorocarbon plasma etching step was interleaved after every 110 ALD cycles. This way, up to ~ 30 nm-thick selective SiO2 deposition was demonstrated, as shown in Figure 1 of the supplemental PDF file. Furthermore, X-ray photoelectron spectroscopy was carried out to verify the selectivity of the process. No Si was detected on the non-growth area, demonstrating the high selectivity of this process.

The process presented in this work combines selective inhibitor chemisorption, plasma-based spatial ALD at high deposition rates and plasma etch-back steps to correct for selectivity loss. Being compatible with roll-to-roll and sheet-to-sheet concepts, this approach can enable high-throughput AS-ALD on large-area and flexible substrates as well.

[1] A. Mameli et al., ACS Nano, 2017, 11, 9303-93

[2] F.S.M. Hashemi et al., ACS Nano, 2015, 9, 8710-8717

[3] R. Vallat et al., JVSTA, 2017, 35, 01B104

[4] P. Poodt et al. JVSTA, 2012, 30, 01802(1-10)

View Supplemental Document (pdf)
AS-TuP-6 Defect Mitigation Solution for Area-Selective Atomic Layer Deposition of Ru on TiN/SiO2 Nanopatterns
Job Soethoudt (KU Leuven – University of Leuven/IMEC, Belgium); Fabio Grillo (ETH Zurich, Switzerland); Esteban Marques, Ruud van Ommen (Delft University of Technology, Netherlands); Basoene Briggs, Hubert Hody, Valentina Spampinato, Alexis Franquet, Boon Teik Chan, Annelies Delabie (IMEC, Belgium)

Area-Selective Deposition (ASD) receives increasing attention as a bottom-up approach for nanopatterning. Implementation of ASD is however limited by undesired particle growth on the non-growth surface. This work provides a demonstration of defect mitigation strategies based on insight into the particle growth mechanism on the non-growth surface. Ru is selectively deposited by 1-(ethylbenzyl)-1,4-(ethylcyclohexadienyl)ruthenium (EBECHRu) and oxygen atomic layer deposition on TiN/SiO2 nanopatterns pretreated with dimethylamino-trimethylsilane. This material system is relevant for a tone inversion patterning application, where a hard material (Ru) is selectively deposited inside holes in a soft material (SiO­2) which is more straightforward than patterning the hard material directly1. Ru films are selectively deposited on TiN, while particle growth is observed on dielectrics (Figure 1a). The thickness of the selectively deposited Ru layer on TiN is independent of feature dimensions in the entire investigated size range of 90µm-25nm (Figure 1b). We propose two strategies to mitigate defectivity based on the first stages of EBECHRu/O2 growth on dielectrics. Initially, the Ru particles are too small to catalytically dissociate oxygen, thereby suppressing direct deposition on the particles2. Ru particles at first grow only through surface diffusion and coalescence until they reach a sufficient size for catalytic O2 dissociation, at which point the particles start to rapidly grow through direct deposition on the particles. A first defect mitigation strategy employs the initial growth regime in which particles are smaller compared to the ASD-grown film. During this regime, the particles can be fully etched with limited thickness reduction of the ASD Ru layer. Self-Focusing Secondary Ion Mass Spectrometry (SF-SIMS) is used as a probe for ASD defectivity due to its low limit of detection and the potential to analyse over 104 structures simultaneously3,4. A window was identified in which an ASD Ru layer fully covers the growth surface while no defects are observed by SF-SIMS (Figure 2). The second defect mitigation strategy limits the diffusion-mediated growth of particles during the initial regime, thereby extending its length and significantly enhancing selectivity (Figure 3). The size-dependent nanoparticle reactivity in EBECHRu/O2 ALD suppresses particle growth on the non-growth surface. As such, particles can be completely etched while retaining the integrity of the ASD pattern.

1B. Briggs et al., CSTIC (2018)

2J. Soethoudt et al., Adv. Mater. Int. (2018)

3A. Franquet et al., App. Surf. Sci. (2016)

4V. Spampinato et al., App. Surf. Sci. (2019)

View Supplemental Document (pdf)
Session Abstract Book
(324KB, May 5, 2020)
Time Period TuP Sessions | Topic AS Sessions | Time Periods | Topics | ALD2019 Schedule