ALD2017 Monday Afternoon

Sessions | Time Periods | Topics | Schedule Overview

Hover over a paper or session to view details. Click a Session in the first column to view session papers.
Session Monday, July 17, 2017
1:30 PM 2:30 PM 3:30 PM 4:30 PM
AA-MoA
Atomic Layer Deposited Ta-doped ZrO2 for DRAM Capacitors
High Capacitance 3D MIM Structures Achieved by ALD Deposited TiO2 for Advanced DRAM Applications
Seed-layer Effects on the Crystallization and Electrical Characteristics of ALD-grown Ta2O5 Thin Films
Electrode Induced Variation in Voltage Nonlinearity of ALD Al2O3 and HfO2 Metal-Insulator-Metal Capacitors (MIMCAPs)
High-Voltage Nanolaminate Metal-Insulator-Insulator-Metal (MIIM) Tunnel Diodes using ALD Al2O3 and Ta2O5
Capacitance Maximization of Ultra-thin Si-capacitors by Atomic Layer Deposition of Anti-ferroelectric HfO2 in High Aspect Ratio Structures
Ferroelectricity in Ternary HfO2-ZrO2-La2O3 Mixed Oxide Grown by ALD
A Study on the Oxygen Source and Annealing Temperature Effects of Atomic Layer Deposited Ferroelectric Hf0.5Zr0.5O2 Thin Films
Coffe Break & Exhibits
Thickness Dependence of Polarization Response in (Hf,Zr)O2
ALD as a Primary Contributor Towards Enabling Key Materials in the Memory Roadmap
Plasma-Enhanced Atomic Layer Deposition of Oxygen Deficient TaOx Thin Films for Resistive Switching Memory Applications
Monitoring Resistive Switching Properties of ALD Grown Al2O3/HfO2 Nanolaminate ReRAM Structures by iin-situ Reducing Plasma Treatments
Properties of ALD Ferroelectric Si-doped HfO2 Characterized with Noncontact Corona-Kelvin Metrology
AF-MoA
FTIR and NMR Analysis of ALD Al2O3 on poly-L-lactone Acid Powder and Electrospun Fibres
Bulge Testing of Freestanding ALD Thin Film Membranes
Infiltrated Zinc Oxide in Polymethylmethacrylate: An Atomic Cycle Growth Study
Study on Atomic-Layer-Deposited Al2O3 Dielectric Films with a New Small Angle X-Ray Scattering Method
Evaluating Mechanical Properties of Free-standing ALD Al2O3
Secondary Electron Yield of Nano-oxide Thin Films Measured by Spherical Collector with Pulsed Electron Irradiation
Electrical Characterization of Platinum Thin Films Deposited by Plasma-Enhanced ALD and Magnetron Sputtering
A Facile Control of Major Carriers on Atomic Layer Deposited SnOx Thin Film by using Various Oxygen Reactants
Coffe Break & Exhibits
Activation of Metal Amidinate ALD Precursors on Surfaces and Implications for Film Growth
Surface Chemistry during Atomic-Layer Deposition of Pt Studied with Vibrational Sum-frequency Generation
Mechanistic Study of the Atomic Layer Deposition of Titanium Dioxide Films from Ethylcyclopentadienyltris(dimethylamido)titanium and Ozone or Water
The Role of Surface Chemical Functionality in the Initial Stages of Deposition for Copper and Silver Precursors
Reaction Mechanism of ALD Zirconium Oxide using Alkylamido-Cyclopetadienyl Zirconium Precursors
Elucidation of Distinct Electric Characteristics of ALD Oxides on Highly Ordered GaAs(001) and In0.53Ga0.47As(001) Surfaces using Synchrotron Radiation Photoelectron Spectroscopy
ALE+
In situ Spectroscopic Methods for Atomic Layer Etching and Atomic Layer Deposition
An in situ Optical Diagnostic Study of the Process Conditions that Affect the Etch per Cycle in ALE of SiO2
New Innovative Etching Approaches for Future Generation by Controlling the Surface Reaction at Atomic-Level
Controlled Layer-by-Layer Etching of ALD Grown Ta2O5 Thin Films
Atomic Layer Etching Mechanism of 2D MoS2 Layers
ALD & Quasi-ALE Patterning Application in EUV Contact Etch
Coffe Break & Exhibits
Boron Nitride Growth at Room Temperature Using Electron Enhanced Atomic Layer Deposition (EE-ALD)
Catalyzed Atomic Layer Deposition of Silicon Oxide at Ultra-low Temperature using Alkylamines
Low Resistance ALD TiN from Low Temperature Thermal N2H4 + TiCl4
Study of the Isotropic Behavior of AZO Conductivity Deposited by Atomic Layer Deposition - Effect of Film Thickness
Growth Behaviour and Stability of Atomic Layer Deposited MoO3 by Mo(CO)6 and H2O/O3 Precursors
Characterization of Al2O3 and HfO2 Grown on Metal Surfaces with Thermal and Plasma Enhanced Atomic Layer Deposition
EM+
Unique Inorganic-Organic Hybrid Materials by ALD/MLD as Enablers of Next-generation Applications?
Atomic/molecular Layer Deposition of Luminescent Inorganic-Organic Hybrid Erbium Pyridine Dicarboxylate Thin Films
Molecular Layer Deposition of Manganese-Ethylene Glycol Hybrid Films
Synthesis, Characterization, and Electrochemistry of Molybdenum-1,2-Ethanedithiol Hybrid ALD Films
Ultrahigh Elastic Strain Energy Storage in Metal-Oxide-Infiltrated Polymer Nanopillars Generated by Infiltration Synthesis
Kinetics of Vapor Phase Infiltration: Fitting Theory to Experimental Measurements
Vapor Phase Infiltration for Doping Conducting Polymers
Coffe Break & Exhibits
Catalysts Modified by ALD for Harsh Biomass Conversion Processes
Effects of Alumina Incorporation by Particle Atomic Layer Deposition on Sintering and Microstructure of Yttria-Stabilized Zirconia (8YSZ)
Low-Temperature ALD Cobalt Sulfide for High-Efficient Hydrogen Evolution Textiles
Atomic Layer Deposition of Platinum: An Avenue to the Scalable Synthesis of Ultra-low-loading Fuel Cell Catalysts?
Pd-Ag Bimetallic Nanograin-Decorated Nylon Nanofibers: Efficient Catalytic Reduction of 4-Nitrophenol
Size-Selective Catalysts with an Ultra-Thin Porous Shell Prepared by Molecular Layer Deposition
Sessions | Time Periods | Topics | Schedule Overview