ALD2017 Session AM+EM-TuA: ALD for Manufacturing (1:30-3:30 pm)/MLD II (4:00-5:00 pm)

Tuesday, July 18, 2017 1:30 PM in Room Plaza F

Tuesday Afternoon

Session Abstract Book
(329KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2017 Schedule

Start Invited? Item
1:30 PM AM+EM-TuA-1 Large Area Spatial Atmospheric ALD
Corné Frijters, Fieke van den Bruele, Frank Grob, Andrea Illiberi, Paul Poodt (TNO/Holst Center, Netherlands)

Atmospheric spatial ALD (sALD) is able to deliver high deposition rates while maintaining the advantages of conventional ALD, such as low defect density, high conformality and thickness uniformity. First industrial applications of spatial ALD include passivation of c-Si solar cells and roll-to-roll manufacturing of flexible barrier foils. An emerging application for Spatial ALD are flat panel (OLED) displays. We have developed spatial ALD processes for making high mobility oxide semiconductors for the TFT backplane as well as thin-film encapsulation for the OLED front plane.

As today’s displays are fabricated using glass panels in the order of several square meters, a remaining challenge is the development of large-area sALD deposition technology that is able to combine high throughput with uniform performance across large areas.

As an intermediate step between the lab and the display fab, we have installed a large area sALD sheet-to-sheet tool which can handle up to 400x325 mm2 sized substrates able to deposit uniform films across a deposition width of 300 mm. This large area sALD tool is comprised of an RTP oven to quickly heat up the substrate and an ALD reactor with a maximum deposition temperature of 350 oC. The whole system is operated under an inert N2 atmosphere. The substrate is transported into the tool by an automatic conveyor system to the ALD reactor and placed on a substrate carrier which is operated by an air bearing to allow for fast and uniform motion underneath the injector head where the deposition takes place.

We will present the initial results achieved with this tool where layer thickness non-uniformities of <1% have been achieved for AlOx layers deposited at 125 oC and a substrate speed up to 21 m/min, equivalent to 30 ms exposure times as well as excellent compositional uniformities for binary oxides. Furthermore we will demonstrate excellent multilayer thin-film encapsulation results on polymer foil-on-carrier by the large area sheet-to-sheet tool.

View Supplemental Document (pdf)
1:45 PM AM+EM-TuA-2 Low Resistivity Titanium Nitride ALD: Low Temperature Enabled by the Use of Ultra-High Purity Hydrazine
Daniel Alvarez, Jeffrey Spiegelman, Keisuke Andachi, Russell Holmes (RASIRC); Andrew Kummel, Steven Wolf, Mahmut Kavrik (UCSD); Mark Raynor, Hank Shimizu (Matheson Tri-Gas)

Introduction

Next generation channel materials (SiGe, Ge and InGaAs) place very difficult thermal constraints (<400°C) on metal nitride deposition methods. New low temperature methods are needed for ALD of TiNx metal gate electrodes. Previous studies have shown viable reactivity for hydrazine but have been limited by hydrazine purity, specifically water contamination [1-3]. This has been attributed to the low purity of commercially available 'Anhydrous' Hydrazine, which has water concentration ranging from 0.2-2.0%. Also, high concentrations of oxygen found in the resulting Metal-Nitride films range from 4-15% for SiNx and TiNx.

We have previously reported the safe delivery of gaseous hydrazine from a solvent-based formulation and membrane delivery system. [4] Here we present our studies on water measurement and removal, as well as hydrazine-based low temperature TiNx ALD to obtain films with low resistivity.

Hydrazine Measurement and Purification

New purification methods have led to water contamination levels of <50 parts-per-million (ppm) in the hydrazine source formulation as measured by Karl-Fischer and GC-MS methods. Gas phase moisture measurements by FT-IR have been developed to a lower detection limit of 0.83ppm. The gas phase output of the ultra-dry material has been measured to below this detection limit (Figure 1). This compares to 31ppm for a standard commercially available material.

TiNx Film Deposition and Characterization

Atomic layer deposition of near stoichiometric TiNx was achieved on an SiON substrate by sequential pulsing of TiCl4 and N2H4 precursors at a substrate temperatures of 275°C-350°C, where growth rate is approximately 0.5A per cycle. Films have been characterized by XPS (Figure 2), AFM, KPFM, STM and Four-point sheet resistance measurements. A TiNx film with near stoichiometric ratio of Ti/N and the absence of added oxygen was achieved. Most significant is an initial measured Resistivity of Raverage =130 ohm, Rsheet = 50 ohm. Additional optimization of these initial results will be presented.

References

[1] S. Wolf, M. Edmonds, T. Kent, D. Alvarez, R. Droopad, A.C. Kummel, AVS (2015) EM+NS+PS-MoA7.

[2] K. Bernal-Ramos, T. Chen, R. Kanjolia, Y. J. Chabal, AVS ALD (2014).

[3] B. Burton, S. Kang, S. Rhee, S. George, J. Electrochem. Soc. 155(7) (2008) D508-D516.

[4] D. Alvarez Jr, J. Spiegelman, E. Heinlein, R. Holmes, C. Ramos, M. Leo, S. Webb, ECS Trans. 72(4), (2016), 243-248.

View Supplemental Document (pdf)
2:00 PM AM+EM-TuA-3 Modeling Ampoule Performance for Low Vapor Pressure Precursor Delivery
James Maslar, William Kimes, Brent Sperling (National Institute of Standards and Technology); William Kimmerle, Kyle Kimmerle (NSI)

Low vapor pressure organometallic compounds are widely utilized as precursors for ALD processes. Such precursors are often delivered using an inert carrier gas that is passed through either a bubbler (an ampoule with a dip tube) or a vapor draw ampoule (no dip tube: the gas in and gas out ports open directly into the ampoule headspace), depending on the precursor properties and process requirements. However, it can be difficult to predict how much precursor will be delivered for a given carrier gas flow rate, or even the relationship between precursor and carrier gas flow rate. A number of factors may contribute to this difficulty, including a pressure drop between the ampoule and the pressure control location, sublimative/evaporative cooling of the precursor (i.e., cooling reduces the precursor vapor pressure and hence the amount of material entrained in the carrier gas), incomplete saturation of the carrier gas (i.e., the precursor sublimation rate is too low to permit saturation of the carrier gas for a particular residence time in the ampoule), or slow mass transport processes in the ampoule. Furthermore, the relative importance of the factors depends on the precursor, ampoule design, and process conditions. The goal of this work is to develop analytical and numerical models that can be utilized to characterize ampoule performance, including establishing the precursor and carrier gas flow rate relationship. Models for both bubblers and vapor draw ampoules were developed. A variety of low vapor pressure precursors, e.g., CCTBA and PDMAT, and precursor simulants, e.g., hexadecane, were employed in this investigation. The focus of this investigation was on commercial 1.5 L-volume ampoules (with a maximum fill of 1.2 L). All ampoule models were experimentally validated using data that was obtained with custom-designed optical mass flow meters located downstream of the ampoule. For selected ampoules, resistance temperature detector arrays were employed in order to characterize the liquid temperature distribution in the ampoule and level sensors were employed to confirm liquid level in the ampoule. It is expected that the development of such models can facilitate both process optimization and development of improved ampoule designs.

View Supplemental Document (pdf)
2:15 PM AM+EM-TuA-4 An innovative chamber designed for ALD, PECVD and FAST® SiO2 processes: towards high throughput and conformal deposition at low temperature
Laetitia Bonnet, Fabien Piallat, Julien Vitiello (KOBUS, France)

Since transistors are employed into integrated circuits, miniaturization of the components is the driver of their evolutions. 3D packaging is already used to stack and interconnect the components thanks to vertical vias driving through the silicon substrate. To prevent important energy loss by leakage, a liner is used in these vias, thanks to its great electrical properties silicon oxide is the most used dielectric material. However, SiO2 deposition in Through Silicon Via is currently facing a challenge: a thick and conformal layer is needed, with a deposition temperature as low as possible for integration in thermally sensitive devices.

The use of a high power plasma, in the plasma-enhanced CVD method (PECVD), allows to achieve suitable electrical properties, while decreasing the substrate temperature down to temperatures as low as 350°C1. Nevertheless, with sensitive substrates the plasma power generated is high enough to damage the devices. In an ALD mode, with or without plasma assistance, the substrate temperature can be down to room temperature when a catalyst is added to the deposition cycle2. However, the low deposition rate, characteristic of ALD, is not compatible with the production demands, where thick layers (> 100 nm) are used.

In this context and to merge CVD and ALD advantages, the Fast Atomic Sequential Technique (F.A.S.T.®) was developed. Dedicated hardware solutions were found to allow a complete separation of the reactant and precursor until the substrate3. FAST tool can be used in continuous mode, in pulse-purge mode or in pulse only mode (fig.1). Similar approaches were presented in the past and referred to “purge-less ALD” or “pulsed-CVD”, the novelty resides here in the possibility to process all three techniques: CVD (fig.1a), ALD (fig.1b) and FAST (fig.1c) in the same chamber. Moreover, the process window is now extended with a new parameter: the pulse position; i.e. pulses can overlap for a CVD-like process or be well separated for ALD-like process.

For this study, the well known silicon precursor TetraEthyl OrthoSilicate (TEOS) was employed in all three deposition modes. A comparison of the growth rate (fig.2), conformality (fig.3) and films properties of the SiO2 deposited by ALD, PECVD and FAST in the same reactor and with similar process conditions will be discussed. For example, SiO2 deposited by FAST mode shows a growth rate 10 times faster than in ALD mode while the conformality reaches at least 70% for vias with aspect ratios up to 8:1 (compared to only 30% obtained in CVD). Additionally, optical and electrical properties were investigated and will be presented, as optical index, breakdown voltage and leakage current.

View Supplemental Document (pdf)
2:30 PM AM+EM-TuA-5 Growth Rates During Silicon Spatial Electron-Enhanced Atomic Layer Deposition: Role of Dangling Bond Lifetime
Andrew Cavanagh, Steven M. George (University of Colorado)

Electron enhancement can dramatically reduce the temperatures required for ALD. Electrons can desorb surface species, such as hydrogen, by electron stimulated desorption (ESD) and create “dangling bonds”. These dangling bonds can then facilitate precursor adsorption leading to low temperature thin film growth. However, other residual species can also consume the dangling bonds and reduce the dangling bond lifetime. Film growth rates may then be reduced after longer times between the generation of the dangling bonds and their consumption by precursor adsorption.

Silicon films were grown using spatial electron-enhanced ALD (SEE-ALD). There was linear motion of the 6” wafer back and forth between the electron source and the disilane (Si2H6) precursors (see supplemental Figure S1). A DC glow discharge plasma was employed as the electron source. Si SEE-ALD growth rates were measured versus the electron dose time and the time between the electron dose and the subsequent Si2H6 exposure. In one experiment, Si thicknesses were measured versus position on the 6” wafer after 200 SEE-ALD cycles using two different wafer velocities under the electron source (see supplemental Figure S2).

Spectroscopic ellipsometry measurements revealed that the Si growth rate increased with electron dose time. The Si growth rate also decreased versus time between the electron dose and the subsequent Si2H6 exposure. A model was developed to explain the Si growth rates based on number of dangling bonds formed during the electron dose time, t1, and the dangling bond loss during the time, t2, between the electron dose and the subsequent Si2H6 exposure. The surface coverage of dangling bonds from hydrogen ESD is Θ =1-exp[-t1Φσ], where Φ is the electron flux and σ is the hydrogen desorption cross-section. The dangling bond coverage is proportional to exp[-t2/τ] where τ is the dangling bond lifetime.

A dangling bond lifetime of τ = 113 s and hydrogen desorption cross-section of σ = 8 × 10-20 cm2 were determined by fitting the model to the growth rates versus t1 and t2. The results indicate that a higher wafer speed to lower t2 is needed to minimize the effect of the dangling bond lifetime. This higher wafer speed will not produce a lower growth rate if the electron flux is also higher. We are currently working to increase the electron flux by using a hollow cathode plasma electron source.

View Supplemental Document (pdf)
2:45 PM AM+EM-TuA-6 Spatial Atomic Layer Deposition of Gate Encapsulation Silicon Nitride for Self-Aligned Contact Enablement
Jiehui Shu, Sanjay Mehta, Jonny Chang, Xiaofeng Qiu, Jinping Liu (GLOBALFOUNDRIES U.S. Inc.)

Aggressive gate pitch scaling and shrinking contact CDs beyond 14nm node require precise control of contact to gate overlay to avoid S/D contact to gate shorts [1]. Therefore, Self-Aligned Contact (SAC) process is widely used for 14nm node and beyond for gate to contact overlay margin improvement. This is achieved by recessing the gate (W) and work function (TiN) metals post W CMP selective to oxide, and filling the space above W with a robust dielectric capping material. The dielectric fill is polished to be coplanar with oxide. The contact plugs are then opened by high selective SiO2 etch to Si3N4. Enough Si3N4 thickness is needed to prevent gate to contact shorts due to Si3N4 erosion during contacts open etch [2]. The key requirements for such a gate capping application are, a) defect free fill, b) adhesion to the gate metal and low-k spacer, c) low deposition temp (< 500C) with reduced in-film hydrogen for gate Vt stability, d) compatibility with downstream wet and dry etch processes e) selectivity in contact etch (selective in oxide dry etch process) and f) low leakage and high Vbd (>6MVcm). In this paper, we present the results of low temperature spatial atomic layer deposition (ALD) gate encapsulation Si3N4 process for 7nm self-aligned contact enablement. Blanket Si3N4 film properties at different process temperatures were characterized, such as etch selectivity, wet etch rate, growth rate, uniformity, etc. In-film Hydrogen content is associated with various film properties such as etch selectivity, wet etch rate. The lower the hydrogen content in Si3N4 film, higher its etch selectivity and lower the wet etch rate. HFS and FTIR are used for hydrogen content analysis in this work. By changing different precursor exposure sequence in spatial ALD process, we are able to modulate the hydrogen content in Si3N4 film. I-V curves and Vbd were obtained using MOS structures.

[1] S. Demuynck et al., IITC 2014

[2] C. Auth et al., VLSI2012

3:00 PM AM+EM-TuA-7 Fast Atomic Layer Deposition Process for Thin-Film Encapsulation of Organic Light-Emitting Diodes
Tony Maindron, Clemence Lopez, Sylvia Meunier Della-Gatta, Myriam Tournaire, Brendon Caulfield, Michel Gontier (CEA-Leti, France); Jacques Constant Stefan Kools, Lydia Baril (Encapsulix, France)

Thin-film encapsulation Organic Light-Emitting Diodes (OLED) consists in the addition of vacuum deposited thin mineral barrier like oxides, nitrides or oxi-nitrides layers directly onto the organic pixel. The main challenge today is to achieve high encapsulation levels with WVTR ~ 10-6 g/m²/day. The ALD technology is well-suited to reach that goal because the technology allows the deposition of defect-free oxide barrier films. The main limitation of the ALD technology is the stability of the oxide barriers, mainly aluminum oxide, to humid atmospheres. Due to its composition, as AlxOy:H, resulting from the low temperature deposition used to deposit onto the fragile OLED circuits (< 100 °C), an additional passivation is mandatory in order to protect the aluminum oxide from water condensation onto its surface. Different works have illustrated the benefits of depositing an additional ALD layer [i], or using metalcone solutions [ii] or PVD-deposited passivating SiO layers [iii]. In each case, the stability of the aluminum oxide is improved because the sensitive film is not exposed directly to moisture condensation. Another limitation with ALD technology is the low deposition rate of the materials. Former applications of ALD were dedicated to the microelectronics world, where very thin layers of oxides (< 5 nm) were deposited as gate insulating materials. For encapsulation purposes, thicker films (typ. > 30 nm) are mandatory, leading to very high deposition times in standard reactors at low temperatures (typ. 30 s/cycle with 1 Å/cycle leads to ~ 2.5 h processing time).

The CEA-Leti has acquired in 2016 an Infinity 200 ALD system from the company Encapsulix SAS. The reactor is a parallel precursor wave architecture [iv] which allows to deposit thin film barriers at high speed (1.5 s/cycle), at temperatures below 100 °C. In the framework of our OLED developments for microdisplay applications, we have qualified the Infinity 200 reactor for OLED circuits. The materials that have been deposited were Al2O3 and TiO2. In this work a systematic study of the material deposition parameters onto 8’’ Si wafers and film characteristics will be presented. Performances of these materials deposited onto OLED as thin-film barriers will also be evaluated, for single Al2O3 and TiO2 layers as well as bilayers Al2O3/TiO2.

[i] A. A. Dameron et al. J. Phys. Chem. C 112 (2008) 4573-4580

[ii] P. Minwoo et al. Thin Solid Films 546 (2013) 153-156

[iii] T. Maindron et al. J. Vac. Sci. Technol. A 34 (2016) 031513

[iv] J. C. S. Kools, US patent 8,721,835 (2014)

3:15 PM AM+EM-TuA-8 Flexible Functional Devices at Mass Production Level with the FLEx R2R sALD Platform
Diederick Spee, Wil Boonen, Edward Clerkx, Dana Borsa (Meyer Burger B.V., Netherlands)

Atomic layer deposition has been around for quite some time. Only recently however, the link to mass production has been made, with the introduction of spatial atomic layer deposition (sALD), which allows for deposition rates in a range similar to established coating techniques like plasma enhanced chemical vapor deposition.

Simultaneously, flexible devices have gained increased popularity due to a huge diversity of applications. For such devices and their market introduction, sALD offers a unique opportunity: it combines high quality materials, from protective to functional coatings or active layers, with competitive costs and high throughput. At Meyer Burger (Netherlands) B.V. we have paved the road to sALD mass production of flexible devices with the introduction of the FLEx R2R sALD platform.

Our equipment is designed as a fully modular platform, allowing for integration of a sALD coating step with pre-and-post sALD steps (eg. surface treatment and activation, planarization and protective layers) for the production of high quality flexible functional foils. The design of the R2R platform, which is the result of dedicated gas flow modeling and web transport simulations, allows for a significant throughput of over 40 m2/hour of 20 nm AlOx coating on foil and already takes into account the scalability to larger web widths (currently 600 mm). Extensive process testing proves that layer growth corresponds to pure ALD both in terms of growth per cycle and saturation curves, even at such a high throughput. Furthermore, layer thickness uniformity is excellent: over a width of 500 mm, deviations are less than 1%. A 20 nm AlOx moisture barrier deposited on 125 micron PET foil, without any additional surface treatment, has a water vapour transmission rate (WVTR) of 10-5g/m2/day at 20°C and 50% relative humidity, proving its high quality.

A detailed introduction of the R2R platform and the performance of our functional foils as well as a brief discussion on the scalability will be presented.

View Supplemental Document (pdf)
3:30 PM Coffee Break
4:00 PM AM+EM-TuA-11 All-Organic Spatial MLD: Troubleshooting Deposition onto Porous Substrates
Daniel Higgs (ALD NanoSolutions, Inc.); Yanju Wang (GE); Edwin Chan (National Institute of Standards and Technology); Hua Wang (GE); Chris Stafford (National Institute of Standards and Technology); Steven M. George (University of Colorado - Boulder)

Thin film polymers are used in many applications including sacrificial layers, passive and active biosurfaces, separation membranes, lubrication and friction modification, and sensors. Several of these applications require thin membranes on porous mechanical supports. As these membranes become thinner, polymer deposition techniques are being pushed to their limits. Current state of the art techniques for producing thin film membranes, such as interfacial polymerization, can produce films down to ~50-100nm, but new techniques are required for thinner membranes. MLD can produce polymer thin films at thickness <50nm, but gas-phase deposition of these thin MLD films onto porous supports is very challenging as unwanted coating occurs inside the pores. This talk will describe the various attempts to produce a uniform, thin MLD film on a porous support.

This work was part of a program funded under the DOE Innovative Manufacturing Initiative, to explore scale-up methods for producing model polyamide membranes for reverse osmosis water purification. As part of this project, we have developed a new spatial molecular layer deposition (MLD) reactor that is capable of sequentially depositing reactive monomers in the vapor phase on flexible substrates at speeds of up to 3 m/s. In this talk, we will describe the challenges and approaches for creating these thin film polymers. We will then compare the MLD films with solution-phase films. We will look at swelling behavior, internal structure, functional group density, and transport. We will also examine the various strategies employed to produce a thin MLD film on porous substrates. This understanding will enable companies to develop and manufacture next generation, energy-efficient membrane materials.

4:15 PM AM+EM-TuA-12 Graphene Oxide Functionalization by Molecular Layer Deposition
Mercedes Vila Juarez (Coating Technologies S.L., Spain); Aneeta Jaggernauth, Miguel Neto (CICECO, University of Aveiro); Maria Jesus Hortiguela, Gil Gonçalves, Manoj Singh (TEMA-NRD, University of Aveiro, Portugal); Filipe Oliveira, Ricardo Silva (CICECO, University of Aveiro)

The functionalization of graphene oxide (GO) with organic molecules is one of the investigated routes for increasing the interface compatibility of GO, thereby enabling its use in a variety of applications such as biomedical platforms, composite filler in conductive polymers or in energy storage solutions. Wet chemistry processes are commonly used to achieve this polymer functionalization of GO, but they have limitations of high heterogeneity, time consumption, and difficult purification processes implying a significant loss of material.

Two approaches for dry functionalization of the nano-GO surface are therefore proposed, utilizing an atomic layer deposition (ALD) reactor: (i) vaporization− condensation of polyethylene glycol amine (PEG-NH2) and (ii) molecular layer deposition (MLD) of a polymer hybrid from trimethylaluminum (TMA) and ethylene glycol (EG).

The dry functionalization of GO−COOH was successfully accomplished by the MLD growth of a hybrid polymer using TMA and EG precursors. 100 °C is sufficient for this deposition with at least 20 TMA-EG cycles showing a change in the surface of GO and depositing a minimum thickness of 5.0 nm. FTIR confirmed the presence of −Al− O−, −C−H−, and −C−O− bonds being formed on GO- TMA-EG. In addition, XPS highlighted the existence of native oxide Al and the increasing thickness of the hybrid polymer layer with number of cycles, confirming bond formation between EG and −Al−. The resulting hybrid polymer also resulted in an increase in the thermal stability of GO−COOH with an increasing number of cycles, according to TGA data.

The route for dry functionalization of GO−COOH with PEG-NH2, via pulsed depositions in an ALD reactor, proved to be more challenging. FTIR demonstrated a changing GO surface with increasing number of cycles, with the main result being the presence of amide and −N−H− bonds, suggesting the reaction between −COOH functional groups of GO and −NH-terminating groups of PEG-NH2. A temperature of 130 °C resulted in pegylated GO within a relatively short time of about 5 h, although control over the formation of double reactions will have to be investigated if the resulting nanoparticles are to be further activated.

4:30 PM AM+EM-TuA-13 Contrast Enhancement of Biological Nanoporous Materials with Zinc Oxide Infiltration for Electron and X-ray Nanoscale Microscopy
Leonidas Ocola (Argonne National Laboratory); Vandana Sampathkumar (University of Chicago); Narayanan Kasthuri, Robert Winarski (Argonne National Laboratory)

Most biological materials have problems with charging when imaging with electrons and low contrast when imaging with hard X-rays. Standard protocols for sample preparation include coating techniques, using sputtering of a conductive metal such as gold, platinum, or gold/palladium alloy, or non-coating perfusion techniques using glutaraldehyde, tannic acid and osmium tetroxide.

In this paper we describe the use of infiltrated ZnO as a means to address both charge dissipation in electron microscopy and contrast enhancement for X-ray microscopy. With ZnO infiltration it is feasible to coat a 2 – 3 nm thick conductive metal oxide film throughout a porous biological sample, and image both the surface and the interior of a sample without any further sample recoating. ZnO is a conductive oxide and the precursors required for its synthesis (water and diethylzinc) easily penetrate nanoporous materials that exhibit water intake. The samples imaged by electron microscopy were a canine tooth from a dog, and brain tissue that was fixated and supercritically dried ahead of time. Both samples were pre-treated in a vacuum oven, initially at room temperature, ramped to 95 oC, and then baked at 95 oC for several hours. The temperature of the vacuum pre-treatment matches that of the infiltrated ZnO process used in our Arradiance Gemstar ALD tool. Both tooth and brain tissue samples were inspected by optical microscopy before and after the vacuum oven pre-treatment to determine if the samples were compatible with the infiltration process. Given that no sample changes were observable, we proceeded with running an infiltration ZnO process of 18 cycles of [H2O:DEZ], which would be the equivalent of a 2-3 nm coating on a flat surface. No further processing was needed for both electron and X-ray microscopy imaging. We show that high resolution X-ray tomography can be performed on biological systems using Zn K edge (1s) absorption to enhance internal structures, and obtained the first nanoscale 10 KeV X-ray absorption images of the interior regions of a tooth.

- Use of the Center for Nanoscale Materials and the Advanced Photon Source, both Office of Science user facilities, was supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357. Additional funding by IARPA, (ARIADNE) under Contract D16P00002

View Supplemental Document (pdf)
Session Abstract Book
(329KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2017 Schedule