ALD2017 Session EM-MoP: Emerging Materials Poster Session

Monday, July 17, 2017 5:30 PM in Room Plaza Exhibit

Monday Afternoon

Session Abstract Book
(387KB, May 5, 2020)
Time Period MoP Sessions | Topic EM Sessions | Time Periods | Topics | ALD2017 Schedule

EM-MoP-1 ALD of Copper(I) Halide Direct Bandgap Semiconductors
Tomáš Homola, Richard Krumpolec, David Cameron (Masaryk University, Czech Republic); Raul Zazpe, Jan Přikryl, Jan Macák (University of Pardubice, Czech Republic); Philipp Maydannik (Lappeenranta University of Technology, Finland); Gomathi Natarajan (Indira Gandhi Centre for Atomic Research, India)

Zinc blende-structure copper(I) halide materials (CuHa) are direct gap semiconductors with band energies in the ultra-violet region. They have high exciton and bi-exciton binding energies which have the potential for laser action with very low lasing threshold. Thin films and nanocrystallites of CuHa have been deposited by evaporation, sputtering and molecular beam epitaxy. Recently, preliminary work has shown that ALD has the ability to deposit thin films and nanocrystallite arrays which exhibit the characteristic photoluminescence of zinc blende CuCl. This paper investigates the growth processes and crystal structure and shows that CuCl films can be grown within an ALD window which ranges from 50oC to 150oC using [bis(trimethylsilyl)acetylene] (hexafluoroacetylacetonato)-copper(I) and HCl in butanol as Cu and Cl precursors, respectively. Initial nucleation depends on the length of the post-Cl purge times with short purge time leading to faster nucleation. After nucleation the deposition rate is similar in both cases.

In addition, the results of film deposition of CuCl and CuBr using alternative halogen precursors will be presented. The use of in-situ deposition of capping layers to prevent degradation of the CuHa due to atmospheric moisture and their effect on the stability and structure of the films will be described.

View Supplemental Document (pdf)
EM-MoP-2 Atomic Layer Deposition of Topological Insulator Selenides and Tellurides
Tommi Tynell, Christoph Wiegand, Andy Thomas, Kornelius Nielsch (Leibniz Institute for Solid State and Materials Research Dresden (IFW Dresden), Germany)

Group V-VI chalcogenides based on the tellurides and selenides of Bi and Sb are well known for their thermoelectric properties, but they also display topological insulator behaviour, characterised by strong spin-orbit coupling and conducting surface states taking the shape of a Dirac cone. Topological insulators display a number of interesting properties, and the fact that carriers in the surface states have their spin orientation locked to their momentum can be particularly useful for applications in the field of spintronics. Developing a process for depositing high-quality thin films of these materials is a priority in their experimental study, because it would enable the observation of the topological surface states without too much influence from unwanted bulk carriers. Epitaxial growth of Bi2Te3 has been achieved using MBE [1] and PLD [2], and ALD processes have also been developed for the V-VI chalcogenides [3-5]. However, issues remain with the surface quality of some ALD-deposited chalcogenide films. Namely, flaking of the surface has been observed [4,5], making the proper investigation of surface states impossible. Thus, developing an ALD process where the surface issues can be avoided is imperative for investigating the topological insulator properties of chalcogenide thin films.

In this work, Sb2Te3, Sb2Se3 and Bi2Se3 films have been deposited using chloride precursors for Sb and Bi, and alkylsilyl precursors for Te and Se. A variety of substrates, including (100) Si, (111) Si and (0001) sapphire have been utilised, and the influence of the deposition temperature as well as the pulsing and purging times have been investigated. The film growth, structure and surface characteristics have been analysed using x-ray reflectivity, x-ray diffraction and scanning electron microscopy.

[1] Y-Y. Li et al., Adv. Mater.2010, 22, 4002-4007.

[2] S. X. Zhang et al., Thin Solid Films2012, 520, 6459-6462.

[3] S. Zastrow et al., Semicond. Sci. Technol.2013, 28, 035010.

[4] T. Sarnet et al., J. Phys. Chem. A2015, 119, 2298-2306.

[5] T. Sarnet et al., J. Mater. Chem. C2015, 3, 4820-4828.

EM-MoP-3 New precursor for low temperature deposition of SiO2 layer using thermal and plasma enhanced ALD techniques
Hima Lingam, Venkateswara Chitturi, Patrick Cobb (Nova-Kem); Marek Boleslawski, David Suh, Chloe Choi, Heon Jeong (Wonik Materials)

A new precursor for deposition of SiO2 layers at substrate temperatures below 200 °C (VLTO1) has been developed recently at Nova-Kem. It is a sufficiently volatile liquid having a vapor pressure of 1 torr @ 75°C and thermally stable up to 200 °C. In a series of thermal and plasma enhanced ALD experiments (using O3 and O2 as oxidizers respectively) it has demonstrated excellent growth rate of 1.0 – 1.7 Å/cycle in the Si substrate temperature range of 50 – 150 °C. The highly uniform blanket SiO2 films deposited by both methods exhibit high chemical purity, good Si:O stoichiometry and density, acceptable leakage current parameters and k values ranging between 4.3 and 4.9. The presented results favor VLTO1 in comparison with the leading low temperature SiO2 precursors. A comparison of theoretically calculated parameters for VLTO1 and other known SiO2 precursors is also discussed.

EM-MoP-4 Modeling of the Reactions of Hexachlorodisilane on NH and NH2 Functionalized Silicon Nitride Surface
Xianghuai Wang (The Dow Chemcal Company); Xiaobing Zhou, Byung Hwang (The Dow Chemical Company); Barry Ketola, Brian Rekken, Travis Sunderland, Andrew Millward, Michael Telgenhoff, Vasgen Shamamian, Changki Lee, Yeong Ahn, Wonso Chung (The Dow Chemcal Company)

Chloro(di)silanes deposit silicon nitride films with ammonia co-reactant in either a thermal or plasma enhanced atomic layer deposition (ALD) process. The film growth involves alternate silylation and ammonolysis reactions. We have studied the silylation reactions of hexachlorodisilane (HCDS) on an NH and NH2 functionalized silicon nitride (SiN) surface with density functional theory (DFT). The modeling suggests that the cleavage of the Si-Cl bonds in HCDS by the surface NH2 groups has a 44.4 kcal/mol energy barrier that is substantially lower than the energy barriers for the cleavage of the Si-Si bond by the surface NH2 groups and the cleavage of either the Si-Cl or Si-Si bond by the surface NH groups.

EM-MoP-5 New Silicon precursor for Low Temperature SiNx ALD Applications
Hima Lingam, Venkateswara Chitturi (Nova-Kem); Marek Boleslawski, Chloe Choi, Heon Jeong, David Suh (Wonik Materials)

Silicon precursors for deposition of atomically-thin SiNx layers at temperatures below 200 °C are of high interest in the microelectronic industry. We have evaluated several silicon precursors for potential SiNx ALD using Schrodinger software suite and identified a new precursor (VLTN-1) that has a low Si-X bond dissociation energy as well as low activation energy for the dissociative chemisorption step. These theoretical properties favor VLTN-1 in comparison with known SiNx precursors such as hexachlorodisilane, octachlorotrisilane, and diisopropylaminosilane. The new precursor has been experimentally synthesized, and its thermal properties were evaluated using TGA methods. The results of VLTN-1 deposition experiments are also presented.

EM-MoP-6 Structure and Growth Behavior of MLD Films Using Cyclic Azasilanes, Maleic Anhydride, Trimethylaluminum and Water
Ling Ju, Nicholas Strandwitz (Lehigh University)

Molecular layer deposition (MLD) is used to grow hybrid organic-inorganic films. We reported a four-step MLD ABCD sequence using N-(2-aminoethyl)-2,2,4-trimethyl-1-aza-2-silacyclopentane (AZ), maleic anhydride (MA), trimethylaluminum (TMA), and H2O and a three-step ABC sequence consisting of AZ, MA and H2O.1 The growth rate of the ABC sequence increased to 90 Å/cycle after approximately 50 cycles, indicating precursor diffusion into the films during deposition.

Based on these results, additional quartz crystal microbalance (QCM) data have been acquired during individual reactions, and provide detailed information of precursor diffusion behavior, such as diffusion coefficient and diffusion depth of different precursors. Ellipsometric porosimetry and X-ray reflectivity (XRR) measurements estimate the density and porosity of the as-deposited films, as well as their structural evolution during annealing process. Fourier transform infrared (FTIR) and X-ray photoelectron spectroscopy (XPS) suggest the differences in functionalities and chemical composition between the ABC and ABCD films, providing evidence for the reaction mechanisms and diffusion behavior. These studies provide better understanding of the structures and growth behavior of the MLD films, and avenues for basic studies of the effects of specific chemical functionalities on growth.

1 L. Ju, B. Bao, S. W. King and N. C. Strandwitz, J. Vac. Sci. Technol. A 35, (2017).

EM-MoP-7 Divalent Group IV Precursors for Atomic Layer Deposition Features
Min Hyuk Nim, Hyunkee Kim, Ki-yeung Mun, Jong Ryul Park, Jung Woo Park (Hansol Chemical, Republic of Korea)

Recently, interest of group IV precursors with wide ALD window has increased for their application of semiconductor industry and flexible display. Especially, formation of encapsulation layer for gas barrier and transparent conducting oxide for electrode in flexible display application require Si and Sn precursors with low deposition temperature. In this work, we report divalent Group IV precursors with liquid phase at ambient temperature. Three new precursors were characterized by NMR and viscometer. Their vaporization characteristics and thermal stabilities were also investigated by differential scanning calorimetry (DSC) and thermogravimetric analysis (TGA). All the precursors showed to be effective precursors for thermal ALD of oxide thin films with O3 as an oxidant gas and demonstrated wide ALD window in the range of 100 ℃ to 320 ℃.

View Supplemental Document (pdf)
EM-MoP-8 Plasma Enhanced Atomic Layer Deposition of Aluminium Sulphide
Jakob Kuhs, Zeger Hens, Christophe Detavernier (Ghent University, Belgium)

Recently, there has been much interest in metal sulphides due to their relevance for energy, photonics and micro electronics applications. Since many of the targeted devices like e.g. field effect transistors, solar cells and transparent conducting films require uniform coatings with precise thickness control, Atomic Layer Deposition (ALD) is an ideal deposition technique.

Aluminum sulphide has a number of promising applications. It can be implemented as an interface layer between high-k dielectric and a III-V semiconductor surface in field effect transistors. It can also be used as a lithium ion conductor for solid state batteries. Furthermore a thorough understanding of the ALD process of Al2S3 is crucial in order to realise ALD of Al doped zinc sulphide (ZnS:Al) which may have application as an n-type transparent conducting film. Until now this is done mostly by Electron-Beam Deposition, solution growth methods or CVD.

While thermal ALD of Al2S3 from trimethylaluminium (TMA) and hydrogen sulfide (H2S) were already reported, to the best of our knowledge, no plasma enhanced ALD processes of Al2S3 were reported until now.

Here we report on a plasma enhanced ALD process for Al2S3. Thin films were deposited in a home-built pump-type ALD reactor by using TMA in combination with argon diluted H2S-plasma as reactants. The substrates were Si(100) wafers covered with native SiO2. Argon diluted H2S-Plasma was used instead of a pure H2S-Plasma in order to minimize the exposure of the ALD reactor to the highly reactive sulphur radicals. The plasma was generated remotely from the substrate by RF inductive coupling at 200 Watt. The substrate temperature was varied from 100°C to 500°C. Thin film growth rate was monitored in-situ by spectroscopic ellipsometry while the structural properties and composition were characterised ex-situ using X-ray diffraction, X-ray fluorescence, X-ray reflectivity, X-ray photoelectron spectroscopy and energy-dispersive X-ray spectroscopy.

Despite existing reports on thermal ALD using TMA and H2S, no growth could be achieved with this process in our reactor. However, using a H2S/Ar-plasma instead of the H2S gas resulted in a linear growth after a short nucleation time (Fig. 1). The growth per cycle (GPC) of the plasma enhanced ALD process was 1.1 Å/cycle at a deposition temperature of 100°C and decreased down to 0.1 Å/cycle at a deposition temperature of 500°C (Fig. 2). Saturation of the ALD process was observed for TMA and H2S/Ar-plasma pulse times both longer than 4s (Fig. 3). The obtained Al2S3 thin films were amorphous as deposited. From EDX analysis a ratio of approximately 2:3 between aluminium and sulphur was confirmed.

View Supplemental Document (pdf)
EM-MoP-9 The Film Property of Super-cycled Al2O3/SnOx Atomic Layer Deposition and the Associated Thin Film Transistor Performance
Seung-Hwan Lee, Seong-Jin Choi (Hanyang University, Korea); Jin-Seong Park (Hanyang University, Republic of Korea)

Crystalized SnO2 is known as superior transparent conducting oxide (TCO) which could be used in application such as displays, solar cells and sensors. It can be achieved to attain higher TCO performance by doping other groups and one of them is aluminum dopant. By controlling Al contents in SnOx matrix, the physical and optical properties was easily manipulated in atomic layer deposited aluminum tin oxide (ATO) layers, which were used with tetrakis(dimethylamino)tin (TDMAT) as a Sn precursor, trimethylaluminum (TMA) as an Al precursor and hydrogen peroxide (H2O2 30%) as a reactant. As Al2O3 and SnOx cycles are mixed with various super-cycles (Al2O3:SnOx=n:m), the film properties were systematically changed in terms of the crystallinity, growth rate, and refractive index. The growth rate and refractive index were varied from 1.9 Å/cycle/2.05 to 1.1 Å/cycle/1.63, respectively. Interestingly, it suggested that the inserted TMA molecule or deposited Al2O3 layer affected to suppress initial growth significantly. The film crystallinity was changed from tetragonal SnOx to amorphous like ATO as the Al contents increased in SnOx matrix. Also, as modulating the syper-cycled Al2O3/SnOx layers, the electrical properties of ATO films can be controlled form conductor to semiconductor easily. Consequently, this presentation will show the suitable ATO semiconductor boundary and the associated thin film transistor performance.

View Supplemental Document (pdf)
EM-MoP-10 Optical Properties and XPS Analyses of TixSi(1-x)O2 Films Prepared by ALD and Comparison to ab-initio Predictions
Marek Elias (CEITEC, Brno University of Technology, Czech Republic); Pavel Ondracka (Masaryk University, Czech Republic); David Necas (CEITEC, Masaryk University, Czech Republic); Julius Vida (Masaryk University, Czech Republic); Eva Kedronova, Lenka Zajickova (CEITEC, Masaryk University, Czech Republic)

TixSi(1-x)O2 films with varied Ti:Si ratio were prepared by atomic layer deposition (ALD) combining cycles with tetrakis(dimethylamido)titanium and tris(dimethylamino)silane for Ti and Si monolayers, respectively, with oxidizing step. The process was enhanced by plasma and the substrate temperature was 250 oC. The films were characterized by optical spectroscopic methods (either reflectometry or ellipsometry) in wide spectral range from 0.56 to 10.3 eV and all the experimental data were fitted by dispersion model based on the parametrization of the joint density of states for valence-to-conduction interband transitions. The chemical composition and bonds were investigated by X-ray photoelectron spectroscopy. The results on the optical properties and chemical structure were compared to the films prepared by plasma enhanced CVD obtained also with varied Ti:Si ratio. Density functional theory was used to predict optical properties and binding energies of core electrons sensitive to chemical environment in TixSi(1-x)O2 amorphous materials.

EM-MoP-11 Atomic Layer Deposition of MoOx:N films: Electrical and Electrochemical Properties
Arpan Dhara, Debabrata Saha, Shaibal Sarkar (Indian Institute of Technology Bombay, India)

Oxynitrides or nitrogen incorporated oxides of transition metals are rapidly gaining attention in materials research because of their tunable electrical and optical properties. They offer usage in variety of applications like photocatalysis, phosphors, electrochemical energy storage, magnetic materials etc. Primary changes in the material properties after incorporation of nitrogen occur due to the difference in electronegativity, electronic charge and polarizability between oxygen and nitrogen atoms. However, effective and homogeneous doping in the host material is a major practical issue till date. Constructive ways to increase the conductivity without significantly altering the material’s chemical properties after doping are still a challenge. Usually nitrogen doping is carried out by annealing oxides under NH3 or N2/H2 gas environment. In such circumstances the metal ions are sensitive to reduction which results in the formation of undesirable phases in the doped materials. Also the possibility of dopant inhomogeneity is a major drawback towards obtaining good quality doped materials.

Here we report, amorphous nitrogen-doped molybdenum oxide (MoOx:N) thin films synthesized by atomic layer deposition (ALD) at relatively lower temperature of 1700C. One ALD cycle of molybdenum nitride (MoNx) is sandwiched for nitrogen incorporation between two MoOx layers. The concentration of nitrogen is controlled by varying the ratio of MoOx/MoNx layers. Quartz crystal microbalance (QCM) measurement is carried out to study the mass change after every single precursor dosed into the reactor. Secondary Ion Mass Spectra (SIMS) shows the presence of nitrogen throughout the deposited films. Hence uniform doping is adequately achieved at the reaction temperature. It is observed that conductivity of MoOx films increase with increase in nitrogen doping concentration. Room temperature and low temperature electrical properties of different films are also studied in detail to understand the transport mechanism with and without nitrogen incorporation.

Both doped and undoped MoOx are deposited on high surface area MWCNTs and applied as anode material in lithium ion batteries. As expected, doped electrodes show improved performance because of the better ionic and electronic conductivity.

EM-MoP-12 In Situ Characterization of Thin Film Molybdenum Carbide using Spectroscopic Ellipsometry
Adam Bertuch (Ultratech); Jonny Hoglund, László Makai, John Byrnes (SemiLab); John McBee, Ganesh Sundaram (Ultratech)

Molybdenum carbide (MoCx) is an extremely hard transition metal carbide with demonstrated super conductive behavior. Thin film, two-dimensional (2D) molybdenum carbide in a synthesized state with a surface termination group called MXenes has been shown to exhibit either conducting or semiconducting properties and has been identified as a potential thermoelectric material. Synthesis and de-lamination techniques have been demonstrated for 2D Mo2C by Hamlin et al.1

In this work we characterize the growth mechanism for depositing the first few cycles of plasma enhanced atomic layer deposition (PE-ALD) MoCx film with the goal of achieving atomically thin continuous MoCx. PE-ALD grown MoCx has been demonstrated using (tBuN)2(NMe2)2Mo with H2 plasma at 150 °C.2 This deposition technique will be explored in greater detail using real time in situ spectroscopic ellipsometry (SE) with a wavelength range from 245 to 990 nm. The nucleation and initial film growth rates can be measured through each PE-ALD half cycle reaction to determine and evaluate the mechanism of growth occurring at the film to substrate interface.

References

1J. Hamlin et al., Adv. Funct. Mater. 26, 3118 (2016).

2A. Bertuch, B Keller, N. Ferralis, J. C. Grossman, and G. Sundaram, J. Vac. Sci. Technol. A 35, 01B141 (2017).

View Supplemental Document (pdf)
EM-MoP-13 Molecular Layer Deposition of Boron Carbide from Carboranes
Michelle Paquette, Lauren Dorsett, Suhaib Malik, Anthony Caruso (University of Missouri-Kansas City); Jeff Bielefeld, Sean King (Intel Corporation)

Atomic layer deposition (ALD) research has exploded in this era of electronic miniaturization, smart materials, and nanomanufacturing. To live up to its potential, however, ALD must be adaptable to many types of materials growth. To extend the reach of this layer-by-layer deposition framework, researchers have begun to explore molecular based processes. Still relatively rare, existing molecular layer deposition (MLD) processes are typically based on the condensation of “linear” 2D or “brush-type” organic polymer chains. To move toward a 3D MLD growth model, precursors with multiple reaction sites would be desirable. To this end, icosahedral carborane (C2B10H12) molecules provide an interesting target. Carboranes have been used in the plasma-enhanced chemical vapor deposition of boron carbide films for low-k interlayer dielectrics, neutron detection, and a variety of protective coatings. These are symmetric twelve-vertex molecules, wherein the terminal H atoms at each vertex are known to be labile in the presence of plasma, heat, or a number of chemical reagents. The carborane molecule is very stable and can be derivatized with a range of functional groups; dozens of these derivatives are available commercially, many of which have relatively high vapor pressures. As such, the carborane molecule is particularly intriguing as a novel MLD precursor for 3D growth, possessing unique symmetry, chemical reactivity, and volatility properties not commonly encountered in traditional organic molecules. In this contribution, we describe early work in our group toward developing a novel MLD process for the growth of boron carbide films using a number of different carborane precursors and substrates.

EM-MoP-14 Sub-10 nm Scalable Hybrid Dielectric Engineering on MoS2 for 2D Materials Based Devices
Lanxia Cheng, Jaebeom Lee, Hui Zhu, Arul Vigneswar Ravichandran, Qingxiao Wang, Antonio Lucero, Moon Kim, Robert Wallace (University of Texas at Dallas); Luigi Colombo (Texas Instruments, USA); Jiyoung Kim (University of Texas at Dallas)

Successful realization of high-performance 2D-based devices requires integration of high quality dielectric film as surface passivation and current barrier. Using atomic layer deposition combined with surface pre-treatments, several studies have demonstrated the downscaling of high-k dielectrics to a few nanometers with promising properties. However, besides inorganic dielectrics, integration of organic-inorganic hybrid dielectric films on MoS2 using MALD has been rarely investigated, which provides an alternative way of engineering dielectrics with excellent scalability, tunable film compositions, and controllable chemical and electronic properties.

In this work, we explored the deposition of low-k organic-inorganic OTS-Al2O3 or OTS-TiO2 hybrid dielectric films on MoS2 by molecular atomic layer deposition (MALD). With the assistance of reactive ozone species, our hybrid films demonstrated a controllable thickness scalability at a growth rate of 0.55 nm/cycle with excellent uniformity as verified by the AFM and HR-TEM images. The interfacial chemical composition and lattice structure characterization using XPS and Raman also show undetectable interfacial oxidation states and structural disordering on the MoS2 surface, owing to its better chemical stability towards ozone exposure. Additionally, our XPS valence band and loss features analysis suggest a tunable band alignments of the MALD hybrid films by replacing the inorganic Al-O component with Ti-O, which also increase the film dielectric constant from 4.5 to ~7.8. Electrical results of top gated MoS2 devices suggest that these MALD films have promising electrical properties, such as low leakage current (~10-5 A/cm2), minimal doping and small hysteresis of ~40 mV at zero back-gate voltage. Our experimental findings have provided a low cost and reproducible way of fabricating scalable hybrid films on TMDs as gate tunneling dielectrics promising for 2D devices and flexible electronic applications.

We acknowledge partial financial support from the Southwest Academy on Nanoelectronics (SWAN) by the Nanoelectronic Research Initiative and NIST; and the NRF (No. 2015M3D1A1068061) in Korea, and TMEIC for providing the ozone generator.

View Supplemental Document (pdf)
EM-MoP-15 Critical Layer Thickness Determination for GaN Thin Films Grown on Sapphire Substrate via Hollow-Cathode Plasma-assisted Atomic Layer Deposition
Mustafa Alevli, Nese Gungor (Marmara University); Necmi Biyikli (Utah State University)

Device quality epitaxial GaN films require substrate temperatures typically higher than 600°C. However, with recent efforts using plasma-assisted atomic layer deposition, crystalline GaN films with preferred crystal orientation were obtained at substrate temperatures as low as 200°C. In this study, we report on the optical and structural properties of highly oriented (002) GaN with different thicknesses, ranging from 5 nm to 100 nm deposited via hollow-cathode plasma-assisted ALD (HCPA-ALD) on c-plane sapphire substrates. Although the compressive stress is a result of lattice mismatch between GaN films and substrate, it has been reported that the average strain in GaN thin films strongly correlates with the film thickness and usually changes from compressive to tensile as thickness increases. In the present research, the evolution of the average strain, phonon positions, Bragg reflection positions, and optical band gap energy in HCPA-ALD-grown GaN films have been analyzed. The XRD scans of all GaN samples revealed that the films are crystalline with hexagonal wurtzite structure. The films have been characterized from the mid-infrared to the ultraviolet spectral range by using spectroscopic ellipsometry in order to obtain the critical optical parameters including optical band edge and refractive index which helped us to understand the influence of film thickness on the aforementioned parameters. The measurements indicate that the increasing trend of the refractive index (n) reverses around ~60 nm. However, we have not observed a clear correlation between optical band gap and film thickness. The lowest optical band edge values obtained from ellipsometry is ~3.56 eV. Phonon modes in GaN films were studied by employing both Raman and infrared spectroscopic ellipsometry.

The E1(TO), E1(LO), A1(TO), A1(LO) and E2(high) phonon modes were identified for all four samples. All the phonon peaks related to GaN are considerably blue shifted with respect to their bulk values. This particular behavior is similar to the ones observed in GaN quantum dots. The E1(TO) and A1(LO) phonon modes are following comparable trend where the phonon peak positions shift towards lower wavenumbers for increasing thickness up to ~60 nm, while the phonon peak positions move towards the bulk value for 100 nm film. E2(high), E1(TO), and A1(TO) vibrational Raman peaks ranging from 500-600 cm-1 are difficult to separate, while the trending Raman peaks appear to evolve very strongly with thickness which is an indication of improving crystalline quality. The overall results suggested that GaN films with thicknesses above 60 nm feature different behavior compared to thinner GaN films. View Supplemental Document (pdf)
EM-MoP-16 Digital Doping of ALD VO2 for Thermochromic Applications
Alexander Kozen, Marc Currie (U.S. Naval Research Laboratory); Katherine Jungjohann (Sandia National Laboratory); Brian Downey, Charles R. Eddy, Jr., Virginia Wheeler (U.S. Naval Research Laboratory)

Vanadium Dioxide (VO2) is an interesting thermochromic material that undergoes a first order crystalline phase transition at a critical temperature (Tc) of 68˚C. This structural phase transition is accompanied by major changes in electrical and optical properties, particularly in the infrared. As such, VO2 is suitable for many applications including microbolometers, adaptive thermal coatings, and passive spacecraft thermal shielding.

While the Tc of VO2 is convenient for many applications, it is desirable to modify the Tc to other values for either new applications or improved performance in the previously stated applications. The Tc of VO2 is known to be strain-mediated.[1] Strain in VO2 has been induced via deposition of thin films onto lattice mismatched epitaxial substrates, as well as by doping with other transition metal elements besides V.[2], [3]

For the first time, we are investigating doping of ALD VO2 using other elements such as Al, Ti, Nb, and W by incorporation of their oxides into the binary ALD VO2 process (TEMAV + O3). We will discuss the fundamentals and limitations of doped ALD VO2 growth and basic materials characterization, and will demonstrate the impact of dopant concentration and identity on the phase transition properties (both optical and electrical) of the resulting films.

Generally, inclusion of dopants such as Ti and Al into the ALD VO2 process in amounts between 1% and 10% results in surfactant-like film growth behavior, with increasing dopant concentrations reducing RMS roughness of the ALD films from ~2 nm to ~0.2 nm. Higher concentrations of dopants also interfere with film crystallization, inhibiting the magnitude of the metal-insulator transition. Smaller concentrations of dopants can modify the Tc of the VO2 films either up or down, depending on dopant identity and concentration.

[1] J. M. Atkin, S. Berweger, E. K. Chavez, M. B. Raschke, J. Cao, W. Fan, and J. Wu, “Strain and temperature dependence of the insulating phases of VO 2near the metal-insulator transition,” Phys. Rev. B, vol. 85, no. 2, pp. 020101–4, Jan. 2012.

[2] W. Burkhardt, T. Christmann, B. K. Meyer, and W. Niessner, “W-and F-doped VO 2 films studied by photoelectron spectrometry,” Thin Solid Films, vol. 345, no. 2, pp. 229–235, 1999.

[3] X. Wu, Z. Wu, C. Ji, H. Zhang, Y. Su, Z. Huang, J. Gou, X. Wei, J. Wang, and Y. Jiang, “THz Transmittance and Electrical Properties Tuning across IMT in Vanadium Dioxide Films by Al Doping,” ACS Appl. Mater. Interfaces, vol. 8, no. 18, pp. 11842–11850, May 2016.

EM-MoP-17 Characterization and Comparison of ALD Laminate Structures with HfO2 + SiO2 as MIM Capacitor Dielectric for GaAs HBT Device
Yao-Ting Shao, Chang-Hwang Hua (WIN Semiconductors Corp., Republic of China)

One well known application of ALD method is to deposit a high-k film as a capacitor dielectric. Single film, HfO2 and Al2O3, as MIM capacitor deposited at 300C with 600A of thickness was evaluated first. 900A of PECVD Si3N4 film was deposited at 300C for comparison. The capacitance density and breakdown field are listed in table 1. The capacitance density of HfO2 was high as expected, but breakdown field was too low to pass Time Dependent Dielectric Breakdown (TDDB) lifetime of 20 years at 20V that is a typical reliability requirement for the GaAs HBT device.

In order to pass the TDDB requirement four different laminate structures as capacitor dielectrics were designed with HfO2 and SiO2 deposited by ALD at 300C. The film thickness of HfO2 (630Å) and SiO2 (144Å) were fixed for the four different structures listed in table 2. HfO2 are the first and last layers of those structures to ensure that the interface effect between dielectric and metal will be the same. Theoretically, the capacitors of four different dielectric structures should have the same capacitance density. But figure 1 shows that they exhibited significantly different capacitance densities and breakdown voltages at room temperature.

TDDB lifetime was tested afterwards shown in Figure 2. Extrapolation was applied to calculate lifetime at 20V after higher voltage stress at 125C. We found that L17 capacitor at 20 volts had the shortest lifetime than that of sample L9 and L3 capacitors. However,the breakdown voltage of L17 at room temperature was the highest. These reliability results were unexpected. The capacitance behavior of L3 and L17 at high temperature was investigated as well. The capacitance of L17 increased ~1.6% higher than that of L3, ~1.1% increase as temperature changed from 25oC to 150oC.

The capacitance density of L3 is a bit lower, but it exhibits high TDDB lifetime and less capacitance variation as the temperature is increased. This indicates that simple laminate L3 is much more attractive than complicated laminate L17 for GaAs HBT device. More related data will be presented in the conference. View Supplemental Document (pdf)
EM-MoP-18 Atomic Layer Deposition of Mo-doped VO2 Thin Films by Nanolamination of VO2/MoO3 Alternating Layers
Xinrui Lv, Yun Yu, Yunzhen Cao (Chinese Academy of Sciences, China)

Mo-doped VO2 thin films were fabricated by nanolaminated packing of VO2/MoO3 alternating layers using atomic layer deposition (ALD). In the deposition process, tetrakis-dimethyl-amino vanadium (IV) (TDMAV) and molybdenum hexacarbonyl [Mo(CO)6] were used as vanadium precursor and molybdenum precursor, respectively. The deposition temperature was studied to satisfy the requirement of ALD temperature window for both metal precursors, which was testified as around 165 °C. Studies showed that the doping concentration of Mo in VO2 depends on the ratio of ALD cycle number of molybdenum precursor to that of vanadium precursor. Additionally, effect of doping concentration on the semiconductor-to-metal transition (SMT) characters of VO2 was also studied, and a considerable reduction of phase transition temperature (Tc) was observed in Mo-doped VO2 thin films.

EM-MoP-19 Trace Metal Analysis on SiC Wafers using ICP-MS
Jaya Chowdhury (ChemTrace)

High level power electronic devices such as SiC-MOS, SiC SiC-IGBT’s are used in power electronic devices. High purity semi-insulating Silicon carbide (HPSI-SiC) wafers are widely used as the substrate replacing silicon in this case. Naturally, the defect free SiC substrate is necessary for a stable and high performing product. Understanding surface metal contamination distribution on SiC wafers is becoming a necessity with the use of these substrates in MOSFET’s.

In this paper we will demonstrate a technique that has been developed for revealing high levels of trace metal impurities with high accuracy and sensitivity on the surface of the silicon carbide wafer materials. We will present data from several batches to validate necessity of quantifying the presence of high levels of TM contaminant present in un-acceptable amounts.

EM-MoP-23 Atomic Layer Deposited Single Crystal High-k Y-doped Cubic HfO2 on GaAs(001) Utilizing HfO2/Y2O3 Super-cycles
Lawrence Boyu Young, Chao-Kai Cheng, Yen-Hsun Lin, Keng-Yung Lin (National Taiwan University, Republic of China); Chia-Hung Hsu (National Synchrotron Radiation Research Center, Republic of China); Jueinai Kwo (National Tsing Hua University, Republic of China); Minghwei Hong (National Taiwan University, Republic of China)

Si-based complementary metal-oxide-semiconductor (CMOS) technology has reached its physical limit as the scaling-down process is no longer available since the 22-16 nm node. To overcome this challenge, diverse solutions have been proposed in the past few decades. One of the most promising solutions is to replace the Si channel and SiO2 gate dielectrics by high mobility III-V compound semiconductor and high-k gate dielectrics. Among the III-V compound semiconductors, GaAs with (001) orientation is a promising candidate to be integrated with the conventional Si(001) platform because of the relatively smaller lattice mismatch to Si than those of most of other III-V semiconductors. As the CMOS technology keeps scaling down in its dimensions, we urgently need materials with higher dielectric constant > 30 to push sub 7-nm CMOS technology. There are three well-known phases for HfO2, monoclinic, cubic, and tetragonal phase, with the dielectric constants of 20, 30, and 70 (by calculation); the cubic and tetragonal phases are unstable at room temperature. In our previous work, we have successfully grown single crystal cubic HfO2 on GaAs(001) by using MBE through 19% Y-doping1. In order to explore the potential of cubic HfO2, it is necessary to demonstrate single crystal growth of cubic HfO2 by utilizing ALD. In this work, we have epitaxially grown single-crystal cubic Y-doped HfO2 (YDH) on GaAs(001) substrate using ALD HfO2/Y2O3 super-cycles. The reflection high energy electron diffraction (RHEED) patterns revealed that the surface of the deposited YDH exhibited four-fold symmetry (Fig. 1). We have performed synchrotron radiation x-ray diffraction (SR-XRD) study to further study the crystal structure of the films. From the radial scan along the substrate normal, the two strong and sharp peaks located at 31.618o and 66.043o are attributed from the substrate GaAs(002) and GaAs(004). The only other two peaks observed at 34.88o and 73.66o are corresponding to the d-spacing of 0.257 nm and 0.128 nm, from which a lattice constant of 0.5136 nm was calculated, which is close to our previous result of MBE grown single crystal YDH. The off-normal φ-cone scan across the YDH{111} was performed to confirm the symmetry of the structure. The peaks were spaced by a 90o equal spacing, indicating that the structure exhibits a four-fold symmetry (Fig. 2). Furthermore, from the positions of GaAs{111} and YDH{111}, we have determined the epitaxial relationship between YDH and GaAs to be YDH(001)[010]//GaAs(001)[010].

#LBY, CKC, and YHL have contributed equally to this work.

*CHH, JK, and MH are the corresponding authors.

1Z. K. Yang et al, Appl. Phys. Lett. 90, 152908 (2007)

View Supplemental Document (pdf)
Session Abstract Book
(387KB, May 5, 2020)
Time Period MoP Sessions | Topic EM Sessions | Time Periods | Topics | ALD2017 Schedule