ALD2017 Session AF2-TuM: ALD Fundamentals: Theory & Mechanism (8:00-10: am)/Emerging Materials and Devices (10:45 am-12:00 pm)

Tuesday, July 18, 2017 8:00 AM in Room Plaza E

Tuesday Morning

Session Abstract Book
(384KB, May 5, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2017 Schedule

Start Invited? Item
8:00 AM AF2-TuM-1 Tuning Material Properties by Ion Energy Control during Remote Plasma-ALD on Planar and 3D Substrates
Tahsin Faraz (Eindhoven University of Technology, Netherlands); Harm Knoops (Oxford Instruments Plasma Technology); Marcel Verheijen, Cristian van Helvoirt, Saurabh Karwal, Akhil Sharma (Eindhoven University of Technology, Netherlands); Dennis Hausmann, Jon Henri (Lam Research); Ageeth Bol, Mariadriana Creatore, Wilhelmus Kessels (Eindhoven University of Technology, Netherlands)

Recently, it has been shown that the ion energy can play a significant role on the material properties of thin films prepared by plasma-enhanced atomic layer deposition (PEALD).1 In this work, we demonstrate the impact of ion energy control during PEALD on planar and 3D substrate topographies using a commercial remote plasma ALD system (Oxford Instruments FlexAL) equipped with radio-frequency (RF) substrate biasing (13.56 MHz, up to 100 W power, -350 V resulting DC bias voltage). In such low pressure, remote inductively-coupled-plasma reactors, the ion energy can be controlled independently of the ion flux by applying an RF bias signal on the substrate table during the plasma exposure step.

Enhancing ion energies with substrate biasing during PEALD was observed to have pronounced effects on the material properties of several oxide and nitride films that serve important applications as dielectric materials. Energetic ion bombardment with substrate biasing increased the refractive index (n) and mass density (ρ) of TiO2 (n = 2.54±0.03, ρ = 4.2±0.2 g/cm3) at 300 °C and HfO2 (n = 2.10±0.03, ρ = 9.5±0.2 g/cm3) at 150 °C, and enabled their residual stress to be altered from tensile to compressive. PEALD of these oxides at 150 °C typically yields amorphous films, but applying a bias during the O2 plasma exposure step enabled the formation of crystalline material (rutile TiO2, monoclinic HfO2) at low temperature. Biasing during PEALD on 3D trench nanostructures (aspect ratio ∼ 4.5:1) effectively showed the role of directional ion bombardment by inducing differing film properties at different (planar and vertical) regions of the 3D substrate. For TiO2 and HfO2 at low temperature, biasing led to selective formation of crystalline material on planar surfaces and amorphous material on vertical sidewalls of the trenches. For SiNx, biasing during N2 plasma exposure led to selective degradation of film regions growing at planar surfaces of the trenches but not the regions forming at vertical sidewalls. This resulted in the material deposited at the sidewalls to have a higher etch-resistance and allowed those sidewall films to remain selectively after a 30 s wet-etch in dilute HF. These results demonstrate the potential of substrate biasing during PEALD in enabling routes for topographically selective2 processing on 3D substrates. Furthermore, it will be discussed how substrate biasing enhances PEALD process capability by providing not one, but several additional knobs (magnitude, duration and duty-cycle of bias, etc.) for tuning a wide range of material properties.

1 Profijt et al., J. Vac. Sci. Technol. A, 31, 01A106 (2013)

2 Kim et al., ACS Nano, 10 (4), 4451 (2016)

View Supplemental Document (pdf)
8:15 AM AF2-TuM-2 Stress Control of Plasma ALD Films Deposited at Low Temperature by Application of Substrate Biasing
Thomas Miller (Oxford Instruments Plasma Technology, UK); Agnieszka Kurek, Aileen O’Mahony, Harm Knoops, Owain Thomas, Robert Gunn (Oxford Instruments Plasma Technology)

Stress in thin films can be important for a wide range of applications affecting mechanical properties of films but indirectly also other device and film properties. Due to the thinness of ALD films, stress is relatively unexplored, even though amorphous thermal ALD Al2O3 films have relatively high stress levels1. In this contribution, stress control of Ta2O5, MoO3 and Al2O3 plasma ALD films deposited at relatively low temperature is demonstrated by the application of substrate biasing.

It has been shown that the addition of RF substrate biasing during the plasma half-cycle can used to control the stress of plasma ALD Al2O3 from compressive to tensile, depending on the DC bias that accumulates at the substrate.2 RF substrate biasing can affect thin film formation in a number of ways, however, what is not fully understood is how this stress is introduced, how it depends on the ALD material and on the influence of table temperature.. This work uses the FlexAL tool offered by Oxford Instruments Plasma Technology, which utilises an Inductively Coupled Plasma (ICP) to create a remote plasma source. Generally remote plasma provides low ion energies to allow for low damage processing. Substrate biasing allows increase of this ion energy to also process in a higher ion energy regime.

Thin films were grown to 20nm, on 200mm Si (100) wafers, with substrate temperatures of 100°C to 150°C. Film stresses were determined using TOHO Technology FLX-2320 by measuring wafer curvature and using Stoney’s equation. Ta2O5, MoO3 and Al2O3 films grown by plasma ALD were characterised with respect to film stress at DC bias voltage between 0-250V.

Results point to the ability of controlling stress of materials grown by plasma ALD, by the addition of RF power to a biased electrode. Al2O3 films were shown to deliver compressive and tensile stress at a table temperature of 110°C depending on the applied bias voltage. MoO3 was shown to be affected by the biased electrode by an increase in compressive stress; however at 140°C even without bias the films had compressive stress. Ta2O5 shows a trend that suggests it can be controlled from tensile to compressive films. In each case, the additional ion bombardment energy shifts the stress towards a more compressive (or less tensile) condition, similar to the behaviour of ion bombardment in the PECVD of silicon nitride films.3

1 Ylivaara et al., Thin Solid Films552, 124 (2014)

2 Profijt et al., ESL15, G1 (2012)

3 A Tarraf, J Daleiden, S Irmer, D Prasai and H Hillmer, Journal of Micromechanics and Microengineering, 14, 317 (2004)

View Supplemental Document (pdf)
8:30 AM AF2-TuM-3 Benefits of an O2 Plasma in a Bi2O3 ALD Process
Matthias Müller, Kristina Komander, Christian Höhn, Roel van de Krol (Helmholtz Zentrum Berlin, Germany); Aafke Bronneberg (Dutch Institute for Fundamental Energy Research, Netherlands)

Bismuth-based ternary oxides, e.g. BiVO4 or CuBi2O4, are promising photoabsorber materials for solar-driven water splitting [1,2]. The main limitation of these Bi-based metal oxides is the short charge carrier diffusion length (LD=10-70 nm), compared to the light penetration depth (α=250-500 nm) [2]. Consequently thin films on nanostructured templates are needed for efficient solar water splitting. A conformal deposition can easily be achieved by ALD. It has been recently demonstrated that photoactive BiVO4 can be deposited by ALD in a supercycle approach using BiPh3, VTOP, and water as precursors [3]. In this work we focus on plasma-enhanced deposition of Bi2O3 as a first step towards the deposition of high-quality Bi-based ternary oxide films by ALD.

Until now Bi(thd)3 has mainly been used to prepare Bi2O3 films using water as oxidant [4]. However, due to the low reactivity of water towards thd-ligands [5] the obtained deposition rates are relatively low. Furthermore, these films contain a high amount of C impurities (>8 at.%). Real-time spectroscopic ellipsometry (RTSE) indeed revealed that H2O is a very inefficient oxidizer whereas an oxygen plasma readily removes the thd-ligands (Fig. 1). Consequently, the use of an O2 plasma as oxidant reduces the C content to 2.4 %. Furthermore, we achieve a high uniformity, i.e. 94 % over a 4” Si wafer.

Typically when modeling the SE data, the growing film is approximated by a single layer. The present surface groups (after the precursor and oxidant half-cycle) form an additional surface layer, but due to the minimal thickness this cannot be unambiguously distinguished from the bulk. However, in this ALD process the rather large Bi(thd)3 molecule (diameter > 10 Å [4]) creates an adsorbed Bi(thd)x monolayer which is distinguishable from the Bi2O3 bulk. An optical model including a Bi(thd)x surface layer (Fig. 2) reveals a surface layer thickness of about 4 Å. This agrees well with the precursor diameter. The adsorbate is fully removed during the plasma exposure step (Fig. 2a). During this step the Bi-O bond is formed (Fig. 2b).

We will explain in detail the data modeling and discuss how these results aid in understanding film growth when large molecules are used as ALD precursors. In addition, the photoactivity of plasma-enhanced ALD grown Bi2O3 for solar water splitting will be discussed.

[1] Y. Pihosh et al., Sci. Rep. 5 (2015) 11141-11151

[2] S. P. Berglund et al., Chem. Mater. 28 (2016) 4231-4242

[3] M. Stefik, ChemSusChem 9 (2016) 1727-1735

[4] Y. D. Shen et al., J. Phys. Chem. C 116 (2012) 3449-3456

[5] M. D. McDaniel et al., Appl. Phys. Rev. 2 (2015) 041301

View Supplemental Document (pdf)
8:45 AM AF2-TuM-4 High Quality Thin Films Produced by Innovative PEALD Equipment with Microwave ECR Plasma
Hiromichi Enami, Nobuyuki Mise (Hitachi High-Technologies Corp., Japan); Hirotaka Hamamura, Tatehito Usui (Hitachi R&D Group); Jesse Kalliomaki, Väinö Kilpi, Timo Malinen (Picosun Oy)

PEALD is expected to be utilized for many applications such as transistors, OLED, Solar-cells and MEMS, because it can generate various thin films at lower temperature than thermal ALD. But currently the conventional PEALD has a limited range of applications due to its poor-quality film deposited at low temperature.

In order to get a high-quality film at low temperature, it is indispensable to generate radical and ion species effectively during the plasma treatment step of PEALD and to use them efficiently for the film formation. Microwave ECR plasma technology which was established by Hitachi High-technologies can generate a large number of radicals and ions in an extremely-low-pressure condition and can supply them with low exhaustion. Fig.1 shows the process pressure dependency of the radical and ion emission intensity in N2 plasma generated by microwave ECR. Peak intensities of both radicals and ions drastically increase as pressure decreases.

For establishing a brand new method for high-quality film generation at low temperature, this Microwave ECR plasma is combined with the leading ALD system from Picosun. This combined system is called “MECRALD” as one of PEALD systems.

In order to verify the effectiveness of this MECRALD combination, MECRALD was applied to generation of films such as SiN, SiO2, AlN and AlO. High-quality films are realized with higher density, lower C & O contamination, and lower roughness on 300mm wafers compared with the conventional technologies. It is also confirmed that MECRALD generates films with wet etch rates and electric performances which are better than the conventional technologies and as good as LP-CVD at 700 to 800 degree C.

This innovative MECRALD can be one of the superior solutions for next-generation integrated devices and other novel devices which need high-quality films at low temperature.

View Supplemental Document (pdf)

9:00 AM AF2-TuM-5 ALD of Aluminum Fluoride using Al(CH3)3 and SF6 Plasma
Martijn Vos (Eindhoven University of Technology, Netherlands); Harm Knoops (Oxford Instruments Plasma Technology, UK); Wilhelmus Kessels, Adrie Mackus (Eindhoven University of Technology, Netherlands)

In this work we explored the use of SF6 plasma as a co-reactant for atomic layer deposition (ALD) of aluminum fluoride (AlF3). By combining SF6 plasma with trimethylaluminum (TMA, Al(CH3)3) AlF3 films with good material properties were grown. Metal fluorides such as AlF3, MgF2 and CaF2 generally have a wide bandgap (>10eV) and low refractive index (1.3-1.6). Due to these interesting properties they find use in many applications, including passivation layers in Li-ion batteries, electron transport layers in photovoltaics and protective coatings for optical devices. Previously, ALD of fluorides has been demonstrated using TiF4 and TaF5 as the fluorine source for the deposition of MgF2, CaF2 and LaF3 and more recently using HF for AlF3, ZrF4, MnF2, HfF2, MgF2 and ZnF2.1,2 The novel approach of using SF6 plasma as a fluorine source is a promising alternative to HF, because of the ease of handling that SF6 offers. Furthermore, SF6 plasma allows for increased reactivity at lower temperatures and reduced purge times, similar to the use of O2 plasma for metal oxide ALD.

AlF3 films were prepared on Si samples over a temperature window of 50°C to 300°C. Since SF6 plasma etches Si and SiO2, a thin Al2O3 layer was deposited prior to AlF3 growth. Using in situ spectroscopic ellipsometry (SE) the growth per cycle (GPC) was determined to decrease from 1.5Å at 50°C to 0.5Å at 300°C. Interestingly, no significant impurity levels of S and C were detected in the bulk of the AlF3 films using X-ray photoelectron spectroscopy (XPS), even for low deposition temperatures. Furthermore, XPS measurements showed an O content of around 0.5-1at.% and a F/Al ratio of 3. The low impurity content and the stoichiometric F/Al ratio are in line with a refractive index of 1.35 at 633nm as determined by SE. The thickness non-uniformity on a 200mm wafer was determined to be 3.9% (standard deviation, 1 sigma), without additional optimization. Moreover, deposition on GaP nanowires showed good conformality of the AlF3 film along the full length of the nanowires. In addition, the reaction mechanism of the ALD process will be addressed based on a combination of optical emission spectroscopy (OES) and quadrupole mass-spectrometry (QMS). These measurements suggest that CH4 is released during the TMA dosing, and that HF and CFx–species are formed during the plasma exposure. Overall this work shows that SF6 plasma is a promising co-reactant and can be the basis for ALD of a wide range of metal fluorides.

1Pilvi et al., Chem. Mater. 20 (2008)

2Lee et al., Chem. Mater. 28 (2016)

View Supplemental Document (pdf)
9:15 AM AF2-TuM-6 Ferroelectricity in Undoped ZrO2 Thin Films on Pt Electrode without Post-Annealing Treatment
Miin-Jang Chen, Po-Hsien Cheng (National Taiwan University, Taiwan)

Ferroelectricity in HfO2-based thin films, including the Hf0.5Zr0.5O2 and HfO2 doped with Al, Gd, Si or Y, has attached considerable attention recently due to their high compatibility and scalability with the complementary metal-oxide-semiconductor (CMOS) transistors. However, it is necessary to have a post-annealing ( 600~1000◦C ) to attain ferroelectric crystallization, which increases the difficulty of CMOS process integration. ZrO2, which is similar to HfO2 in material properties, is also a CMOS-compatible material. However, ferroelectricity in ZrO2 has not been fully understood yet. Here we report significant ferroelectricity in ZrO2 thin films for the first time. The ferroelectricity with a remnant polarization up to 12μC/cm2 was achieved in undoped ZrO2 nanoscale thin films prepared by remote plasma atomic layer deposition (RP-ALD) on the Pt electrode. Significant ferroelectric hysteresis loop was observed in the as-deposited ZrO2 thin films without treated with the post-annealing, which is highly beneficial to the device integration. The existence of the ferroelectric orthorhombic phase with noncentrosymmetric space group Pbc21 in the as-deposited ZrO2 thin films was confirmed by high-resolution transmission electron microscopy. In addition, all the paraelectric, field-driven antiferroelectric, and ferroelectric behaviors of ZrO2 were observed by changing the film deposition temperature and by performing post-annealing treatment, indicating the tunability of ferroelectricity in undoped ZrO2 thin films. The result reveals the great potential of the undoped ZrO2 thin films prepared by the RP-ALD technique for the next-generation non-volatile memories and ultralow-power transistors.

View Supplemental Document (pdf)
9:30 AM AF2-TuM-7 Improving the Conductivity (<10-3 Ω cm) of HfNx by Ion Energy Control during Plasma-assisted ALD
Saurabh Karwal, Bora Karasulu, Marcel Verheijen, Janne Niemelä, Tahsin Faraz, Wilhelmus Kessels, Mariadriana Creatore (Eindhoven University of Technology, Netherlands)

Transition metal nitrides (TMNs) find applications as gate metal in FinFETs and heat mirrors due to their low resistivity (ρ < 10-4 Ωcm) and high infrared reflectivity, respectively. They are also used as copper diffusion barriers in interconnect technology. Amongst TMNs, hafnium nitride (δ-HfNx) is a material that exhibits a high IR reflectivity and low resistivity (14.2 µΩcm for single crystals).

In this work, we report on HfNx thin films that were prepared via plasma-assisted atomic layer deposition (ALD) adopting tris(dimethylamino)cyclopentadienylhafnium [CpHf(NMe2)3] as Hf precursor and H2 plasma or H2-Ar plasma as co-reactant.1 We demonstrate that the resistivity of HfNx films depends on the substrate potential (ion energy control) developed upon application of a RF substrate bias. By controlling the ion energy, the chemical composition and microstructure of the films can be tuned. We found that HfNx films deposited using H2 plasma under no bias yields an electrical resistivity of (9±0.2)·10-1 Ωcm whereas a decrease of the film resistivity by more than two orders of magnitude to (3.3±0.1)·10-3 Ωcm was observed for films prepared at a substrate potential of -130V.

The high resistivity under no bias condition is attributed to the prominent grain boundary scattering, next to a low Hf(III) oxidation state fraction of 0.65±0.02.3 The low Hf(III) fraction is partially due to the presence of 20.1±0.7 at.% O, which leads to a resistive Hf-O bond that converts Hf(III) to Hf(IV) and depauperates HfN from free conduction electrons.3 Preliminary density functional theory (DFT) simulations suggest that the dissociative binding of H2O (present in the background of ALD chamber) on a pristine HfN surface is highly favoured both kinetically and thermodynamically (Ea = 0.11 eV and ΔE = -2.05 eV). On the contrary, a higher Hf(III) fraction of 0.82±0.02 is obtained for the film prepared with a substrate potential of -130V. This improvement can in part be attributed to the steady decrease in O content (≤2 at.%) upon increasing the substrate potential. The increase in Hf(III) fraction was also found to correlate with the decrease in grain boundary scattering, resulting in a decrease of the film resistivity.

Moreover, HfNx films prepared by Ar-H2 plasma instead of H2 plasma exhibits even a much lower electrical resistivity of (4.1±0.1)·10-4 Ωcm when applying a substrate potential of -167V. The decrease in grain boundary scattering stems from an increase in the grain size (according to SEM analysis), in parallel with an increase in Hf(III) fraction reaching a value of 0.86±0.02.

1 Karwal, S. et al., J. Vac. Sci. Technol. A Vac. Surf. Films35 (2017)

View Supplemental Document (pdf)
9:45 AM AF2-TuM-8 Plasma Technology for Spatial ALD of Conductive Layers
Yves Creyghton, Andrea Illiberi, Fred Roozeboom (Solliance/TNO, Netherlands)

The use of plasma in Spatial ALD at high pressures is challenging because plasmas tend to filamentary structures above pressures of several Torr. Atmospheric pressure ‘glow discharge’ can be generated in noble gases but this is no economic option. We have developed Dielectric Barrier Discharge (DBD) plasma sources for generating a thin layer of plasma (~ 0.1 mm) proximate to moving substrates. Remotely generated plasma species must be transported by high gas flow towards the substrate in order to reduce radical recombination losses. This is applicable for ALD of metal oxides in O2/N2 plasma where N radicals contribute to O-radical formation in the downstream section. We found that for remote plasma ALD of metals and nitrides (TiON) no saturated growth can be reached, probably because radical recombination is too fast. Therefore, we developed direct Surface DBD plasma sources. Creating the plasma layer partially remote results in homogeneous plasma in contact with the substrate. The structure changes only in a minor way even under strongly varying surface conductivity conditions, thus allowing for homogeneous and stable ALD growth of conductors on dielectric substrates or vice-versa. An additional challenge is imposed by substrates without grounded potential. Since in gas bearing based spatial ALD no mechanical contact exists between the top surface layer and the ALD injector head, electrically floating layers are common practice. We found that the direct SDBD plasma sources cause positive charging of non-grounded substrates which is often resulting in the formation of micro-arcs intermixed with a homogeneous plasma layer. Micro-arcs have a detrimental effect on layer properties. In depth study of the micro-arcs via transparent conductive substrates, has resulted in modified plasma source electrode geometries and electrical operation conditions avoiding any micro-arc formation. The innovative plasma sources have been applied for ALD of titanium oxynitride using TDMAT as precursor and H2/N2 plasma in a temperature range from 50 to 250 ºC. A full material analysis was carried out which clearly showed the TiN structure. The layer resistivity was found to be strongly dependent on deposition temperature and could be decreased from 0.1 down to 10-3 Ω.cm upon increasing temperature from 150 to 250 ºC. XPS analysis shows a correlation between temperature and carbon content in the layer which decreased from 14 to 3% while the oxygen content was still high (~18 %). The conference presentation will emphasize the role of plasma conditions (source-to-substrate distance, power, H2/N2, gas flow) and the scalability of the direct plasma SALD process for industrial use.

10:00 AM Coffee Break & Exhibits
10:45 AM AF2-TuM-12 Atomic Layer Deposition of Lithium Titanate on Planar and 3D-Structured 200 mm Silicon Substrates
Sascha Bönhardt, Sabine Zybell, Wenke Weinreich (Fraunhofer IPMS, Germany); Christoph Hoßbach, Volker Neumann (Technische Universität Dresden, Germany)

Thin film battery technology delivers high added value for autonomous microsystems e.g. wireless sensor networks, Internet of Things (IoT) applications and medical implants. The transition to solid state electrolytes means significant improvement for battery safety and even opens possibilities for integration into silicon technology.

The 3D micro battery concept, including the deposition of functional layers on structured surfaces with high aspect ratio, has been shown to improve both, capacity and rate performance of all-solid-state lithium ion batteries (LIB)[1]. Our aim is the development and characterization of functional stacks allowing direct integration into 3D microsystems. For CMOS compatible design and production, we manufacture functional electrode and electrolyte layers on 200 mm wafer using industrial thin film deposition equipment.

In this work, we investigate atomic layer deposition of lithium titanate (LTO) for use as anode material in LIBs. Although it’s comparatively low capacity, spinel lithium titanate has superior rate performance and stands out due to its zero-strain characteristics and the three dimensionality of ion transport [2]. With these properties LTO is a very promising anode material for 3D micro batteries.

In this work we present the successful deposition of lithium titanium oxide by ALD and subsequent formation of the desired spinel lithium titanate by rapid thermal anneal. It can be shown that LTO formation could also be achieved with an additional capping layer applied. That behavior is mandatory for forming multi-layer stacks w/o vacuum break or air exposure. Deposition took place on planar and 3D structured Si-substrates showing linear growth behavior and excellent step coverage as well. The applied ALD technique of preparing lithium titanium oxide is using lithium-tert-butoxide (LTB), tetrakis(dimethylamino)titan (TDMAT) and water as precursors. Films were analyzed by X-Ray diffraction (XRD), scanning electron microscopy (SEM), X-Ray photon spectroscopy (XPS) and Ellipsometry (EL). Electrical data is provided by electrochemical impedance spectroscopy (EIS) and cyclovoltametry (CV).

[1] Y. Wang, B. Liu, Q. Li, S. Cartmell. S. Ferrara, Z. Deng, and J. Xiao, Journal of Power Sources 286 (2015) 330-345.

[2] V. Miikkulainen, O. Nilsen, M. Laitinen, and T. Sajavaara, RSC Advances 3 (2013) 7537.

11:00 AM AF2-TuM-13 Nano-ceramic Composite Separator Modified by ALD for Lithium Ion Batteries of Improved Safety and Reliability
Erik Østreng, Riina Ritasalo, Satu Ek (Picosun Oy); Robert Dominko (National Institute of Chemistry)

Li-ion battery represents a gigantic market as one of the energy and storage solutions for a wide range of cleantech applications [1,2]. Safety and reliability are the main technology issues that hinder applications of Li-ion batteries. Among these a membrane (e.g. separator) between active components of two electrodes plays the most critical role [1]. After multiple charge and discharge -cycles, the polymer membrane can undergo severe deformation and softening or even melting if the temperature reaches the polymer’s softening point. As a consequence, large area short circuit can take place between the anode and the cathode and cause severe safety issues. Deposition of inorganic nano-ceramic thin film on conventional polymer (membrane) can effectively solve deformation and melting issues. Atomic Layer Deposition (ALD) is a gas-phase deposition technique for high-quality and pin-hole free thin films with precisely controlled layer thickness [1,2] thus providing a unique solution for improvement of the properties of the separator.

The objective of this study is to improve the performance of the Li-ion batteries by ALD-coated membranes to meet the large-scale application of power and energy storage for Li-ion batteries. The inorganic nano-ceramic thin film layers consisting of nanoscale thicknesses of Al2O3, ZnO and TiO2 are deposited onto organic microporous polypropylene (PP) membrane by ALD. The thermal shrinkage and wettability of the separators and electrochemical performance of the cell are studied.

The thermal stability and wettability of the separators were clearly improved by the ALD coating, the effect being more notable with thicker ALD films (e.g. Fig. 1). The best electrochemical performances were shown by the cell assembled with the separator having a 15 nm Al2O3 layer. The results presented in Fig. 2a show relatively good cycling stability after a few cycles at 500mAh/g with excellent Faradaic efficiency (FE) that was stabilized at 97.5% after 20 cycles while the separator with a 0.5nm Al2O3 layer in Fig. 2b shows severe capacity fading which goes along with increase of polarisation during the discharge and charge process. We have demonstrated that controlled deposition of nano-ceramic ALD layers plays a crucial role both in the properties of the separator and the cell performances. Up-scaled ALD tools capable of handling large volumes of separators could make this technique affordable for the use in advanced energy storage technologies.

[1] Wang et al., Energy Environ. Sci. 8 (2015) 1889-1904.

[2] Meng et al., Adv. Mater. 24 (2012) 3589–3615.

Acknowledgements: The research has received funding from TEKES and HELIS in H2020 program.

View Supplemental Document (pdf)
11:15 AM AF2-TuM-14 Physical and Electrical Characteristics of ALD Tin Disulfide Multilayer
Juhyun Lee, Giyul Ham, Seokyoon Shin, Hyunjung Kim, Seungjin Lee, Hyungsoo Choi, Hyeongtag Jeon (Hanyang University, Republic of Korea)

Many research groups have exhibited extensive research activities in two-dimensional (2D) materials, such as graphene, layered metal dichalcogenides, due to their unique properties in comparison with conventional thin film and layer structure materials. Layered metal dichalcogenides have attracted significant attention due to their interesting physical properties and possibility of application to flexible electronic device. Among these 2D materials, graphene is the extensively studied because of its high carrier mobility and transmittances. However, it has a zero bandgap in pristine form without functionalization or structural modification like a ribbon shape, resulting in poor transistor performance. In order to solve these problems, layered metal dichalcogenides (LMDs), such as MoS2 and WS2 has been researched due to their suitable electrical and physical properties. Tin disulfide (SnS2) is another layered material to compete with current 2D materials. The 2D SnS2 has S-Sn-S tri-atomic planar molecular arrangements with weak van der Waals bonding among molecules. The properties of 2D SnS2 with bandgap of 2.1eV can lead to good transistors performance with large Ion/Ioff and high mobility. Tin in SnS2 is one of earth-abundant elements, notably a group IV element (Sn) and low melting temperature metal. Therefore, we tried to deposit this material at very low temperatures and to improve a crystalline quality of SnS2 by measuring the physical and electrical properties.

In this study, we focused on the transistor characteristics of multi-layered SnS2 deposited at 100 °C and step annealed from 100°C to 350 °C. Firstly, we will demonstrate physical and electrical characteristics of ALD-grown multilayered SnS2 field-effect transistors (FETs) at low temperatures. The properties of annealed and as-deposited SnS2 will be analyzed by XRD, RAMAN, TEM, XPS. And the transistors using few layers of SnS2 will be fabricated and their electrical properties will be investigated. Process temperatures we applied are the temperatures which are compatible with flexible device fabrication, and the process we used is the process which is currently applied device fabrication.
11:30 AM AF2-TuM-15 PEALD Platinum Nano-island SET Fabrication and Electrical Characterization
Daniel Thomas, Etienne Puyoo, Martine Le Berre (Institut des Nanotechnologies de Lyon, France); Liviu Militaru, Siddardha Koneti, Annie Malchère, Lucian Roiban (INSA de Lyon, France); Andrei Sabac (Institut des Nanotechnologies de Lyon, France); Khaled Ayadi, Céline Chevalier, Joëlle Grégoire, Francis Calmon, Brice Gautier (INSA de Lyon, France)

Single electron transistors promise unrivaled efficiency, however the cost and difficulty associated with achieving stable operation have hindered their pathway to industry. Numerous novel techniques have been demonstrated for SET creation1, however many of these techniques require specialized, non-scalable fabrication techniques such as SEM, FIB, and colloidal chemistry. Among the methods available for the nanoisland formation necessary for SET operation, ALD and PEALD growth methods present the advantages of a large-scale approach that yields well-controlled monolayer growth2. Moreover, this approach facilitates low-temperature in-situ growth of Pt nanoparticles.

PEALD grown Pt nanoparticles were embedded in an ALD grown Al23 dielectric matrix at 200°C. As a first investigation, Pt-island growth was observed via TEM plane views performed after 30, 45 and 60 PEALD growth cycles (Figure 1). The resulting nanoislands were well-dispersed, with average sizes increasing from 1.7 nm to 4.3 nm with the number of cycles. Next, the optimal 30 cycles were selected for building both mono-layer and multistack layers of dielectrically isolated Pt nanoislands. Through simple UV lithography and evaporation steps, nanogap transistor electrodes were built on top of these Pt nanoislands layers. The shadow edge evaporation technique3 provides us with material flexibility and the ability to build nanogaps demonstrated down to 6nm, with high lateral widths (~100 microns). The combination of these processes result in a high yield, low requirement fabrication process for building single electron transistors.

The resulting transistors, fabricated with Drain and Source electrode widths between 2µm and 8µm, were analyzed using STEM and TEM cross-sections to confirm proper fabrication (Figure 2). Electrical measurements were performed at varying temperatures and coulomb oscillations were present across all electrode widths and were identifiable at up to 170K (Figure 3). Upon further investigation of the conduction mechanisms, it was determined that the majority conduction was through trap assisted hopping as modeled by Poole-Frenkel emission controlled by way of Coulomb blockade.

1. Azuma, Y., Sakamoto, M., Teranishi, T. & Majima, Y. Memory operations in Au nanoparticle single-electron transistors with floating gate electrodes. Appl. Phys. Lett.109, (2016).

2. Baker, L. et al. Nucleation and growth of Pt atomic layer deposition on Al2O3 substrates using (methylcyclopentadienyl)-trimethyl platinum and O2 plasma. J. Appl. Phys.109, (2011).

3. Dolan, G. J. Offset masks for lift-off photoprocessing. Appl. Phys. Lett.31, 337–339 (1977).

View Supplemental Document (pdf)
11:45 AM AF2-TuM-16 Thermal Annealing Effects on Electron Emission Properties of ALD MgO
Violeta Prodanovic, Hong Wah Chan (Delft University of Technology, Netherlands); Anil Mane, Jeffrey Elam (Argonne National Laboratory, USA); Lina Sarro, Harry v.d. Graaf (Delft University of Technology, Netherlands)

An experiment is conducted to investigate the influence of thermal annealing on secondary electrons emission (SEE) by ALD grown MgO employed in a novel photodetector Timed Photon Counter (TiPC). This effect is studied for 25 nm MgO film deposited on Si substrate (reflective SEE), and MEMS fabricated membranes with thicknesses in range of 5 – 25 nm (transmission SEE). Furthermore, morphological and structural properties are determined by AFM, XPS and XRD analysis, together with a new procedure for in situ measurements of the specific resistivity of MgO.

An essential part of TiPC is the tynode (transmission dynode), an array of freestanding membranes with thicknesses in the order of a few nanometers. After the impact of an incoming electron on one side of the tynode, noise-free amplification is provided through secondary electrons emission on the opposite side of the membrane [1]. MgO is already known for its excellent reflective SEE properties, reported in the range of 3.3 – 24.3 [2], depending on the deposition and measurement method. For fabrication of tynodes ALD is employed as the most apt technique for growing ultra-thin films of good quality, with excellent control over thicknesses and extremely low surface roughness (Fig. 1). Moreover, mechanical properties of this material, mainly its low compressive residual stress of around 200 MPa, and chemical inertness to some common MEMS etchants (such as vapour HF) ensure suspension of strong membranes.

We report RSEE coefficient of 4.7 for 25 nm thin MgO deposited by thermal ALD at 200 °C using Mg(Cp)2 and H2O as precursors. It is observed that thermal post-annealing of this film at temperatures in range 250 – 400 °C for 3 – 4 hours improves the SEE. The optimal temperature of such treatment is found to be 300 °C, giving the maximum RSEE of around 6.25 (Fig. 2). Apart from enhanced yield, thermal bake out resulted in a shift of maximum RSEE towards higher energies of primary electrons of around 100 eV. Identical study carried out for 25 nm thin MgO membranes showed an increase in transmission SEE of approximately 20%. XRD analysis revealed that film remained amorphous in this temperature range, which suggests the increase of SEE yield is mainly driven by removal of surface contamination on samples. Conducting similar experiment at higher temperatures will provide useful information on how induced crystallinity affects SEE properties of ALD MgO.

References:

[1] H. v.d. Graaf et al. “The Tynode: a new vacuum electron multiplier”, Nucl. Instr. and Methods in Physics Res. 847, pp. 148-161, 2017.

[2] Y. Ushio, et al. “Secondary electron emission studies on MgO films”, Thin Solid Film, 167, pp. 299-308, 1988.

View Supplemental Document (pdf)
Session Abstract Book
(384KB, May 5, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2017 Schedule