ALD2017 Session AF-SuA: ALD Fundamentals: Precursors and Mechanisms (1:30-3:30 pm)/High Aspect Ratios & High Surface Areas (4:00-5:30 pm)

Sunday, July 16, 2017 1:30 PM in Room Plaza E

Sunday Afternoon

Session Abstract Book
(359KB, May 5, 2020)
Time Period SuA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2017 Schedule

Start Invited? Item
1:30 PM AF-SuA-1 Atomic Layer Deposition of Silicon Dielectrics: Precursors, Processes and Plasmas
Dennis Hausmann (Lam Research)

As the dimensions of modern semiconductor devices continue to shrink below the current 14 nm technology node, novel processes for the deposition of highly conformal, low temperature, silicon based dielectrics will be needed for applications that include sidewall spacers, barriers, and patterning layers. Atomic layer deposition (ALD) is an ideal method for achieving the high conformality and has been used in high volume manufacturing (HVM) to deposit high-k dielectric materials (HfO2, ZrO2 …) for several technology generations. Plasma assisted ALD is the best known method to meet low temperature (< 500 oC) requirements and is now being used for depositing conformal silicon dielectrics such as silicon oxide (SiO2) and silicon nitride (Si3N4). In this presentation, the current state of the art of precursors, plasmas and process conditions required to deposit conformal silicon dielectrics by plasma ALD will be discussed. Theoretical and experimental data will be presented to explain the observed reaction characteristics for the plasma ALD of SiO2, Si3N4, and the lack (so far) of silicon carbide (SiC). Although plasma enables low temperature deposition, they pose challenges for achieving isotropic film properties over the complex topography of today’s semiconductor devices.

2:00 PM AF-SuA-3 Atomic Layer Deposition of Carbon Doped Silicon Oxide by Precursor Design and Process Tuning
Meiliang Wang, Haripin Chandra, Anupama Mallikarjunan, Kirk Cuthill, Manchao Xiao, Xinjian Lei (Versum Materials, Inc)

Atomic Layer Deposition (ALD) of silicon oxide is commonly used in the semiconductor industry due to its excellent thickness control and conformality. For some applications, films deposited at low temperature with low wet etch rate relative to undoped silicon oxide are required, e.g., pattern definition using selective wet etch. Carbon doping is a known method to tune the wet etch rate of silicon oxide films. In this paper, the impact of precursor reactivity, the number of precursor silicon-carbon bonds, co-reactant concentration, and deposition temperature on deposited film’s carbon content will be discussed.

Substituting the Si-H bonds by Si-CH3 bonds on organoaminosilane precursors is considered to be able to introduce carbon doping into the silicon oxide film. In this paper, precursors with different numbers (1, 2 or 3) of Si-H bonds substituted by Si-CH3 groups are compared. Figures 1 and 2 show the silicon oxide film growth per cycle (GPC) and carbon content in the film respectively with different precursors at different deposition temperatures. It is found that substituting the Si-H bond by Si-CH3 bond reduces precursor reactivity and decreases GPC. At temperatures ≥ 225 oC, all the precursors could deposit silicon oxide films with reasonable GPC but very little carbon in the film (<1 at.% by XPS). At temperatures ≤ 150 oC, precursors with two or three Si-CH3 bonds, eg. dimethylaminodimethylsilane (DMADMS) and dimethylaminotrimethylsilane (DMATMS), have almost no silicon oxide film deposition (GPC < 0.1 Å/cycle), while the newly designed precursor with only one Si-CH3 bond substitution, eg. diisopropylaminomethylsilane, could deposit silicon oxide film with relatively high GPC and high carbon doping (1-10 at.%). The above example illustrates that tailoring the precursor structure is crucial to balance reactivity and desired film property. In addition, an alternative novel way of making carbon doped SiO2 is presented in another talk by our group [1].

[1] Haripin Chandra, et al. ALD 2017

View Supplemental Document (pdf)
2:15 PM AF-SuA-4 Evaluation of Silicon Precursors for Low Temperature Silicon Nitride Deposition
Shuang Meng, Bryan Hendrix, Tom Baum (Entegris Inc.); Dennis Hausmann (Lam Research)

The increased complexity of the next generation device structures challenges the widely used deposition technology of materials such as silicon nitride (Si3N4). New applications including double patterning spacer, liner, encapsulation layer, and gate spacer all require a conformal silicon nitride film deposited at low temperatures (<400°C); these films should display good etch resistance and/or etch selectivity in highly conformal films. Plasma-enhanced atomic layer deposition (PEALD) is the preferred deposition method due to its excellent conformality, thickness control, and the ability to deposit films at low temperatures with better film properties. Although many silicon containing precursors have been used and explored for silicon nitride deposition in the past, no precursor has been identified that can simultaneously meet all the technical requirements for low temperature deposition and be economically viable.

In this work we screen a variety of silicon precursors using PEALD with both N2 and NH3 plasmas. The precursors were selected with a variety of molecular structures (mono vs. di-silane, cyclic structures, linear structures) and functional groups (chloride, methyl, dimethyl amide, and N,N-dimethylhydrazido) to evaluate their effect on process performance and final film properties. FTIR and SIMS were used to characterize the resultant film composition and impurity level. Wet etch rate (WER) and step coverage were also measured and compared for different precursors under equivalent process conditions.

We found that the deposition characteristics and film properties displayed drastically different behaviors depending on precursor structure, types of co-reactants, RF power, as well as pressure and temperature settings. In particular, all aminosilane precursors tested require N2 plasma for deposition, but do not deposit with NH3 plasma. In contrast, chlorosilane precursors showed little deposition with N2 plasma, while deposition rates with NH3 plasma depend upon the relative position of chlorine within the precursor; terminal chlorine substituents display greater reactivity. Impurity levels are generally low for all PEALD deposited Si3N4 films. The notable exceptions relate to hydrogen content, which is always higher for NH3 plasma deposited films. WER of the as-deposited films varies drastically with co-reactant species, RF power, as well as deposition temperature and pressure. Film conformality is generally better for NH3 plasma deposited films relative to N2 plasma films. However, WER of the NH3 plasma films are substantially higher than that of the N2 plasma deposited films.

2:30 PM AF-SuA-5 Atomic Layer Deposition of SiO2 Using Tris(dimethylamino)Aminosilane Precursor and Ozone
Charith Nanayakkara (EMD Performance Materials); Aaron Dangerfield (University of Texas at Dallas); Guo Liu, Charles Dezelah (EMD Performance Materials); Yves Chabal (University of Texas at Dallas); Ravindra Kanjolia (EMD Performance Materials)

Atomic layer deposition (ALD) of silicon oxide using aminosilanes has attracted wide attention due to their ability to overcome the challenges associated with chlorosilanes such as formation of reactive by products, particles, chlorine impurities and increased deposition rates due to the self-catalyzed reaction mechanisms of the amino groups.1,2 Here, we have investigated the novel tris(dimethylamino)aminosilane (3DMAAS) for the ALD of SiO2.

A cross-flow ALD reactor was used to deposit SiO2 films on Si coupons with native oxide (~1.5 nm). 3DMAAS was delivered in vapor draw mode with ampoule at 38 - 39 °C. A typical ALD process cycle involves 2 s 3DMAAS pulse with 5 s trap time, 25 s N2 purge, 5 s ozone pulse with 5 s trap time and 25 s N2 purge. Saturation curves in Figure 1 suggest that 3DMAAS has a saturation behavior at 350 °C typical of an ALD precursor. There was no nucleation delay on Si(100) substrate with native oxide, with an average growth rate of about 0.94 Å/cycle at 350 °C. Post deposition XPS indicated C and N impurity levels in the bulk of the film are below the detection limit with the film composition agreeing with stoichiometric SiO2.

Using In-situ FTIR spectroscopy we investigated the 3DMAAS and ozone ALD reaction mechanism on pre-annealed, OH-terminated and oxidized Si(111) surface at 250 °C. Figure 2 shows that first 3DMAAS pulse (“1st Si”) reacts with surface OH groups (loss at 3745 cm-1) to form (O)2-Si-(NMe2)2 structure, as evidenced by a strong Si-O-Si band at 1110 cm-1, bands associated with the C-H stretch at ∼2900 cm-1 and C-H asymmetric and symmetric bend modes at 1305 cm–1 and 1491 cm–1 and Si–N stretch mode at 1006 cm-1. Weak absorption for the N-H stretch and bend modes of NH2 at 3500 cm-1, 3415 cm-1 and 1550 cm-1 was observed suggesting the surface termination is predominantly(O)2-Si-(NMe2)2. First ozone pulse (“1st Oz”) leads to a loss of the modes for -(NMe2)2 with the appearance of OH groups (gain at 3745 cm-1). The steady state ALD process is characterized by -(NMe2)2 removal by ozone with densification of a more stoichiometric silicon oxide (TO and LO modes at 1070 and 1250 cm-1), with hydroxyl termination sustaining the ALD process. The absorption at 2310 cm-1 could be result from CO2 molecules resulted from ozone exposure.

This work provides insight into the ALD of SiO2 thin film deposition using novel precursor 3DMAAS and ozone with film characterization and in-situ FTIR to further elaborate the details of the ALD reaction mechanism.

1Chem. Mat, 2016, 28 (5), 1247–1255

2Electrochem. Soc. Interface Winter 2011, 20, (4), 33-37

View Supplemental Document (pdf)
2:45 PM AF-SuA-6 In situ Infrared Absorption Study of Plasma-Enhanced ALD of Silicon Nitride using Di-sec-butylaminosilane and Bis(t-butylamino)silane on Silicon and Silicon Nitride Surfaces
Fabian Pena, Eric Mattson, Charith Nanayakkara, Yves Chabal (University of Texas at Dallas); Anupama Mallikarjunan, Haripin Chandra, Manchao Xiao, Xinjian Lei, Ronald Pearlstein, Agnes Derecskei-Kovacs (Versum Materials, Inc)

The demand for lower temperature deposition of silicon nitride (<300˚C), with the requirement that the films be uniform, conformal, and of controllable thickness, has led to the development of plasma-enhanced atomic layer deposition (PEALD). Silicon nitride films are typically deposited using aminosilane or chlorine-based silicon precursors and either NH3 or N2 plasma as co-reactants. In contrast to ALD using NH3 plasma, for which the growth is hindered by the presence of hydrogen, the use of a N2 plasma leads to good quality films at low temperatures. [ACS Appl. Mater. Interfaces, 2015, 7 (35), 19857] However, the mechanisms involved in this process are not well understood. Experimental and theoretical work has suggested that aminosilane precursors (DSBAS and BTBAS) react on under-coordinated nitrogen bonds [J. Phys. Chem. Lett. 2015, 6, 3610] generated by the N2 plasma. The notion that plasma induced defects (or reactive sites) facilitate subsequent reactions has been well accepted. However, such reactive sites can also lead to recapture of the precursor by-products and oxygen contaminants, arising from plasma etching of the plasma chamber dielectric in inductively coupled plasma (ICP) sources.

In this work we use in-situ infrared spectroscopy to investigate each step of a PEALD process with DSBAS or BTBAS and Ar or N2 remote plasmas. We find that, on fully H-terminated silicon Si(111) surfaces, these two aminosilane precursors do not react at temperatures up to 300˚C.[J. Phys. Chem. C, 2016, 120 (20), 10927] Upon partial desorption of H with an Ar+ plasma, the reaction is possible, presumably due to Si dangling bonds (db). However, if most of the H is removed, DSBAS does not react as much, suggesting that the presence of both active sites (db) and hydrogen atoms is required for DSBAS reaction, as H is necessary for the release of the amino ligand (Fig. 1). The role of active sites is confirmed by time-dependent studies that allow poisoning of these sites. The situation is more complex with N2 plasma because silicon nitride is initially formed in the surface region of the Si substrate. Therefore, we have also examined the PEALD process on high quality Si3N4 films. The data are consistent with the participation of surface H and active sites, but it is not possible to identify the nature of the active sites. Furthermore, the ion-induced desorption is strongly dependent on the surface composition, with no desorption (i.e. creation of active sites) when oxygen is in the Si-bond.

View Supplemental Document (pdf)
3:00 PM AF-SuA-7 First-Principles Understanding of Reaction Mechanisms in Plasma Enhanced Atomic Layer Deposition of Silicon Nitride
Gregory Hartmann, Gyeong Hwang (The University of Texas at Austin); Peter Ventzek (Tokyo Electron America); Toshihiko Iwao, Kiyotaka Ishibashi (Tokyo Electron Tohoku, Ltd., Japan)

Atomic layer deposition (ALD) has recently received increasing attention for the growth of high-conformity silicon nitride (SiN) thin films. In particular, plasma enhanced ALD (PEALD) allows SiN deposition at substantially lower temperatures (< 400 °C) with better film properties compared to thermal ALD. These advantages make PEALD more attractive for ultra large scale integrated circuit (ULSI) device fabrication where the growth of aspect ratio independent and high-quality conformal thin dielectric films is tremendously important. The PEALD of SiN films involves a two-step process of i) silicon-containing precursor adsorption/decomposition and ii) nitridation. Chlorosilanes such as hexachlorodisilane, bis(tertiary-butyl-amino)-silane, and dicholorosilane (DCS, SiH2Cl2) have been utilized as Si precursors. Despite previous studies, the underlying reaction mechanisms of these Si precursors with a nitrogen containing surface during PEALD still remain uncertain. Parameters controlling the rate of growth and uniformity have been demonstrated experimentally, but without knowledge of the reaction mechanisms, direct contributions of specific process conditions cannot be explained. Using first-principles density functional theory (DFT) calculations, we have examined and identified a novel mechanism for the adsorption and decomposition of DCS on a hydrogenated SiN surface. Our study predicts that the DCS adsorption and dissociation can occur by overcoming a moderate barrier (< 0.7 eV), far lower than the prohibitively large barriers predicted for previously proposed mechanisms. Through a detailed electronic structure analysis of the reaction intermediates, we have elucidated the principles underlying the reaction mechanism, notably the hypervalent nature of Si which permits chlorosilanes to adsorb prior to dissociation. A proper model of the interactions between the SiN surface are necessary to explain the ALD process and also indicate the mechanism for the formation of side products, which has a considerable contribution to the thermodynamic favorability of the proposed mechanism. We have examined the same mechanism utilizing alternative precursors and the predicted trends are found to be corroborated with the important properties of the system. Understanding these principles allows us to develop guidelines for processing conditions, such as the importance of maintain the proper surface composition to support Si precursor adsorption and dissociation. Our study provides insight into the SiN ALD process via chlorosilanes and guidelines to control the deposition for high-quality SiN films and provides a framework for future theoretical studies of ALD mechanisms.

3:15 PM AF-SuA-8 Atomic Layer Deposition of AlN from AlCl3 using NH3 and Ar/NH3 Plasma as Co-reactant
Ville Rontu, Perttu Sippola, Mikael Broas (Aalto University, Finland); Timo Sajavaara (University of Jyväskylä, Finland); Mervi Paulasto-Kröckel, Harri Lipsanen, Sami Franssila (Aalto University, Finland)

ALD AlN has been typically deposited using AlMe3 as the Al precursor. This has resulted in films with high amounts of carbon and hydrogen impurities, non-stoichiometric composition and poor crystalline quality although some of them have been epitaxial [1]. We report here thermal and remote plasma-enhanced processes to deposit AlN from AlCl3 and NH3 or Ar/NH3 plasma using Picosun R200 PEALD tool.

The films were characterized with ellipsometry for thickness and refractive index, wafer curvature for stress, XRR for thickness and density, XRD for crystallinity, TOF-ERDA for stoichiometry and impurity content, and TEM for crystallinity and interfacial structure.

Growth per cycle (GPC) for the thermal process was 0.058 nm at 475 °C and 0.072 nm at 500 °C using the same parameters. GPC is limited by poor NH3 reactivity and long NH3 pulses are required since reactor temperature cannot be increased further. The films have refractive index of 1.9 and density of 2.8-2.9 g/cm3. The films are partly crystalline with weak preferred orientation as seen in Supp.Fig. 1. Stresses in thermal thin films were ca. 1 GPa tensile.

Previous studies have shown both film quality [2] and stress [3] to be affected by plasma. We used Ar/NH3 plasma at 500 °C to check these. The resulting films had a low GPC of < 0.05 nm. However, the crystallinity was much improved and stronger preferred orientation was measured with electron diffraction as shown in Supp.Fig. 2. Density was 3.2 g/cm3 (very close to bulk value 3.26 g/cm3) and the refractive index increased to 2.0. Typical range for ALD AlN films is 1.85-2.05 [1, 4-5]. Films had very little impurities: 6.5 at. % H, 1.7 at. % O, and 0.1 at. % Cl as measured by TOF-ERDA. These values are much lower than those obtained with AlMe3 precursors, where >10 % hydrogen and few percent carbon are commonly observed [1, 4-5].

The largest effect of plasma was the stress level. It changed from highly tensile in thermal process to very high compressive stresses (-2 GPa) in the PEALD process. This is thought to originate from a subplantation effect where energetic ions hitting the surface are entrapped into growing film distorting lattice and causing compressive stresses [6]. Subplantation is supported by TOF-ERDA measurement of 0.058 at. % of argon in the film.

References:

[1] N. Nepal, et al., Appl. Phys. Lett. 103, 082110 (2013)

[2] H. B. Profijt, et al., J. Vac. Sci. Technol. A 29, 050801 (2011)

[3] H. B. Profijt, et al., J. Vac. Sci. Technol. A 31, 01A106 (2013)

[4] A. P. Perros, et al., J. Phys. D: Appl. Phys. 46, 505502 (2013)

[5] H. V. Bui, et al., J. Vac. Sci. Technol. A 33, 01A111 (2015)

[6] Y. Lifshitz, et al., Phys. Rev. B 41, 10468 (1990)

View Supplemental Document (pdf)
3:30 PM Coffee Break & Exhibit
4:00 PM AF-SuA-11 Nanoscale Gettering of Excess O in CuO Nanowires via ALD Al2O3
Sriya Banerjee, Zhengning Gao, Yoon Myung, Parag Banerjee (Washington University, St. Louis)

CuO nanowires are an attractive platform for energy-based applications including photoelectrochemical splitting of H2O and photocatalysis. However, there is limited understanding of the surface chemistry of these nanowires. It has been shown that CuO nanowires surfaces can be reduced stoichiometrically1 as Cu2O or non-stoichiometrically2 as Cu2-dO depending on the severity of the thermal reduction process.

Here, we progressively map the chemical reduction of CuO nanowires, ex situ, by sequentially adding few monolayers of Al2O3 on a single CuO nanowire. The CuO nanowire is contacted electrically via electron beam lithography (Figure 1a), prior to the deposition experiments. Using atomic layer deposition (ALD), Al2O3 is deposited using trimethyl aluminum (TMA) and H2O as reactants. The chemical changes on the nanowire surface are studied by X-ray photoelectron spectroscopy (XPS) and electrical properties of the nanowire are recorded after every monolayer deposition.

XPS fine spectra of Cu 2p reveal a clear reduction of Cu2+ to Cu1+ after only a single pulse of TMA. The O 1s fine spectra reveals the formation of Al-O and Cu+1-O bonds and removal of adsorbed O species after 1 cycle of Al2O3 (Figure 1b). The Al 2p fine spectra shows a clear Al-O bond formation after ~ 3 cycles of ALD. Thus, a clear surface reduction of CuO nanowire and subsequent formation of Al2O3 is noted in XPS studies. This change in surface chemistry manifests itself in the electrical characteristics as a detectable photocurrent response under ambient (760 Torr) conditions; where, for a pristine CuO nanowire, no such response is previously observed (Figure 1c). (Figures are in the supplemental material)

References:

1. Wu, F.; Myung, Y.; Banerjee, P., Rayleigh Instability Driven Nodular Cu O Nanowires via Carbothermal Reduction of CuO Nanowires. 2015, 15, 1588-1595.

2. Wu, F.; Banerjee, S.; Li, H.; Myung, Y.; Banerjee, P., Indirect Phase Transformation of CuO to Cu2O on a Nanowire Surface. 2016, 32, 4485-4493.

View Supplemental Document (pdf)
4:15 PM AF-SuA-12 Temperature Dependent Growth of Alumina on Tungsten Nano-Powder
Kedar Manandhar, James Wollmershauser, Boris Feigelson (U.S. Naval Research Laboratory)

Use of nanopowders for technological applications is growing because of their size specific properties, such as high surface area to volume ratio and quantum confinement effects, which can lead to unique physical, optoelectronic, catalytic, mechanical, and chemical properties when compared to their bulk counterpart. However for many nanopowders, a key obstacle in their path to technical application is a danger of the deterioration of their size specific properties by the environment in which they will be used. To protect such properties, nano-powder surfaces are modified by growing thin films of metal oxides. Alumina film is preferred over other metal oxides films because of easiness of forming pinhole free films. The work on growth of alumina on nano-powder is not that common as that on the flat surfaces and the few available reports have grown alumina at around 200 °C. Many nano-materials, such as polymers and PbSe are temperature sensitive, so a growth of alumina on such materials needs to be done at temperature lower than 200 °C. Our recent studies on ALD of alumina on nano-particles have demonstrated that the growth of films on nano-powder is different from that on flat surfaces, which is due to size specific properties of nano-powder1, 2. Therefore, systematic studies on temperature dependent growth of alumina film on nano-material is essential.

As a model substrate for the study of temperature dependent growth of alumina, tungsten (W) powder was utilized because this substrate/film provides high contrast between the core and shell in transmission electron microscope micrographs providing clear delineation between the film and substrate and we selected trimethylaluminum/water chemistry because it is most commonly used chemistry. Thin alumina (Al2O3) films from 50 ALD cycles were grown at reaction temperatures ranging from 50 to 300 °C on W-nanopowders with an average particle size of 50 nm using rotary reactor (RR) ALD. The results for alumina deposition demonstrated conformal coating of alumina with varying growth per cycle as a function of temperature. The as-prepared samples were investigated with x-ray photoelectron spectroscopy (XPS), scanning and transmission electron microscopy (SEM and TEM). Growth per cycle and properties of ALD grown alumina films on W-nanopowders as a function of reaction temperature will be presented and discussed.

Work is supported by NRL Base Program and DARPA

1. K. Manandhar, J. A. Wollmershauser., B. N. Feigelson In preparation .

2. K. Manandhar, J. A. Wollmershauser., J. E. Boercker, B. N. Feigelson J. Vac. Sci. Technol A 2016, 34, (2), 021519.

4:30 PM AF-SuA-13 Critical Aspects in Fluid Bed ALD
Markus Bosund, Risto Peltonen, Edgar Maiorov (Beneq Oy, Finland); Mika Jauhiainen (Beneq Oy); Emma Salmi (Beneq Oy, Finland); Sami Sneck (Beneq Oy)

Atomic layer deposition is an effficient method for conformal particle coating specially if the particle size is small and the grown layer is thin. One of the most critical factors in many particle coating methods is the amount of particles coated (APC=coated particles/total particles). It is possible to coat very small quantities of particles using a traditional flow type ALD reactor whereas, a special reaction chamber design is required when high APC values with greater particle quantities are required. Especial attention should be given to the separation of the particles during coating. Fluid bed reactor (FBR) ALD with continuous vibration and fluidization of the particles is a well-known method to achieve this.

The limits of fluid bed ALD were studied by investigating the effect of following process parameters on the APC value: pulse time, purge time, fluidization flow and amount of particles. The precursor molecules and by-products were analyzed during the deposition using a MKS residual gas analyzer (RGA). Beneq TFS 200 equipped with double wall FBR reactor was used in the depositions. Commercially available SiO2 spheres 9-13 mm (Sigma Aldrich) were coated with Al2O3 using trimethylaluminum and water as the precursors. A scanning electron microscope with energy-dispersive X-ray spectroscopy was used for the particle analysis.

The limits of FBR ALD were studied by investigating the effect of process parameters on the APC value. The precursor molecules and by-products were analyzed during the deposition using a MKS residual gas analyzer (RGA). Beneq TFS 200 equipped with double wall FBR reactor was used in the depositions. Commercially available SiO2 spheres 9-13 mm (Sigma Aldrich) were coated with Al2O3 using trimethylaluminum and water as the precursors. A scanning electron microscope with energy-dispersive X-ray spectroscopy was used for the particle analysis. Pulse time, purge time, fluidization flow and amount of particles were observed to have a significant effect on the APC value.

4:45 PM AF-SuA-14 Super-Conformal Growth by ALD
Roy Gordon (Harvard University, USA); Jun Feng (Harvard University)

ALD normally covers substrates conformally, with the outer surfaces of the films faithfully replicating the topography of the substrates. We now report that a process for aluminum-catalyzed ALD of silicon dioxide actually produces super-conformal growth. This means that the growth per cycle is larger inside recessed, concave features than it is on flat surfaces or on protruding, convex features. One result of this “bottom-up” growth mode is that the top surface of the film becomes smoother than the surface of the substrate on which it was grown.

Another consequence of this growth mode is that trenches with strictly parallel sides can be filled without any trace of a seam or void at the center-line of the trench.

A mechanism is proposed for this super-conformal ALD process, based on ALD of silica catalyzed by aluminum.1 Smoothening or leveling effects have also been observed in “bright” metal electroplating, and in chemical vapor deposition of metals. The underlying mechanisms for all of these super-conformal coating processes will be compared and contrasted.

1. Dennis Hausmann, Jill Becker, Shenglong Wang and Roy G. Gordon, Science298, 402 (2002)

View Supplemental Document (pdf)
5:00 PM AF-SuA-15 Thin Film Conformality Profile Analysis with Microscopic All-Silicon Lateral High Aspect Ratio Structures
Riikka Puurunen, Oili Ylivaara, Kestutis Grigoras, Markku Ylilammi (VTT Technical Research Centre of Finland)

The downscaling of future semiconductor devices with increasing 3D character leads to increasing demand of highly conformal thin films. Atomic layer deposition (ALD), based on the use of repeated, self-terminating reactions of typically at least two compatible reactants on a solid substrate, is often the only technique that can meet the conformality specifications. Conformal films made by ALD are also needed in other fields with intrinsic three-dimensionality requirements, such as microelectromechanical systems (MEMS), energy applications, and high-surface-area catalysts.

This work continues on earlier work on conformality analysis [1–3]. All-silicon microscopic lateral high-aspect-ratio (LHAR) structure prototypes have been designed and fabricated with an improved design (PillarHall Prototype 3). The LHAR structures consist of a lateral gap of typically 500 nm (in some cases, 100 to 2000 nm) in height under a polysilicon silicon membrane, supported by silicon pillars. The gap length varies from 1 to 5000 µm, giving aspect ratios (length vs height) for the typical ~500 nm gap of 2:1 to 10 000:1.

In this work, we analyse how sensitive the measured thickness profile is to changes in (i) test-structure-related factors, such as test structure length, and (ii) analysis-related factors, such as spot size of the measurement, using the widely-studied [4,5] Me3Al/H2O and TiCl4/H2O ALD processes as test vehicles. According to preliminary results, the test structure length in the range 500 to 5000 µm has no significant impact on the results. An increasing measurement spot size leads to a softer apparent film termination profile and a smaller negative slope value at the half-thickness-penetration-depth (HTPD). A sufficiently small spot size of the measurement is critical for extracting kinetic parameters from the thickness profile.

Acknowledgements: The authors are grateful to Mari Laamanen and Jaakko Saarilahti for help with process integration, Meeri Partanen and Tuomo Honkaharju for clean room processing, Harri Pohjonen for mask drawing, James Dekker for dry etch specialist advice and Virpi Korpelainen for discussions regarding error analysis. Funding for this work comes from Academy of Finland’s Finnish Centre of Excellence in Atomic Layer Deposition and Tekes PillarHall project.

[1] Gao et al., J. Vac. Sci. Technol. A, 33 (2015) 010601 (5 pages).

[2] Mattinen et al., Langmuir 32 (2016) 10559-10569.

[3] Puurunen, IEEE Xplore, in press (2016).

[4] Puurunen, J. Appl. Phys. 97 (2005) 121301.

[5] Miikkulainen et al., J. Appl. Phys. 113 (2013) 021301.

View Supplemental Document (pdf)
5:15 PM AF-SuA-16 ALD onto Particles: Batch and Continuous Processes for Industry
Joseph Spencer II (ALD NanoSolutions, Inc.)

Process and equipment design are critical components to the emerging field of ALD onto particles. While industrial semiconductor ALD processes and tools are designed for standardized, reproducible rigid substrates, the diversity of particle substrates necessitates a variety of engineering approaches. As ALD coated powder products require different ALD cycle thicknesses, production quantities, particle sizes, and possible substrate/precursor interactions, there is no single best design. This talk will focus on various process approaches for ALD onto particles, ranging from prototype batch reactors to continuous, spatial production systems for high volume industries.

Session Abstract Book
(359KB, May 5, 2020)
Time Period SuA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2017 Schedule